K. Bhardwaj

发表

A. Pataricza, C. Minkenberg, C. DeCusatis, 2009 .

D. Bertozzi, S. Nowick, K. Bhardwaj, 2022, 2022 IEEE 4th International Conference on Artificial Intelligence Circuits and Systems (AICAS).

Sabrina M. Neuman, Gu-Yeon Wei, D. Brooks, 2022, 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO).

Gu-Yeon Wei, Yuan Yao, David Brooks, 2019, ACM Trans. Archit. Code Optim..

Steven M. Nowick, Kshitij Bhardwaj, S. Nowick, 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Davide Bertozzi, Gabriele Miorandi, Steven M. Nowick, 2021, IEEE Micro.

Gu-Yeon Wei, David M. Brooks, José Miguel Hernández-Lobato, 2020, ISLPED.

Gu-Yeon Wei, David M. Brooks, Yuan Yao, 2019, IEEE Computer Architecture Letters.

Gu-Yeon Wei, Paul N. Whatmough, Aleksandra Faust, 2020, IEEE Computer Architecture Letters.

V. Reddi, Aleksandra Faust, K. Bhardwaj, 2022, 2022 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

A. Raychowdhury, R. Goldhahn, K. Bhardwaj, 2023, Design, Automation and Test in Europe.