Seong-Jin Jang

发表

Dong-Hun Lee, Kiho Kim, Yong-Ho Cho, 2018, 2018 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Byungsub Kim, Jae-Yoon Sim, Hong-June Park, 2016, 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits).

Byungsub Kim, Jae-Yoon Sim, Hong-June Park, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Hong-June Park, Jung-Hwan Choi, Min-Kyun Chae, 2018, IEEE Journal of Solid-State Circuits.

Young-Hyun Jun, Tae-Hoon Kim, Jong-Hoon Park, 1993, 1993 IEEE International Symposium on Circuits and Systems.

Sukhyun Lim, Jin-Hun Jang, Dukha Park, 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

Hyun-Soo Park, Hyuk-Jun Kwon, Jong-Ho Lee, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Junha Lee, Jung-Hwan Choi, Joon-Young Park, 2017, 2017 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Young-Hyun Jun, Soo-In Cho, Seong-Jin Jang, 2003 .

Jun-Seok Park, Jongeun Lee, Kyomin Sohn, 2012, IEEE Journal of Solid-State Circuits.

Byungsub Kim, Jae-Yoon Sim, Hong-June Park, 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

Jae-Hyung Lee, Young-Hyun Jun, Dae-Hyun Kim, 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

Young-Hyun Jun, Jae-Goo Lee, Seong-Jin Jang, 2001 .

Hongzhong Zheng, Churoo Park, Seong-Jin Jang, 2014 .

Dong-Hun Lee, Junha Lee, Jung-Hwan Choi, 2018, IEEE Journal of Solid-State Circuits.

Jongmin Kim, Jung-Hwan Choi, Joon-Young Park, 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

Hyoung-Joo Kim, Jong-Ho Lee, Jun-Young Park, 2015, IEEE Journal of Solid-State Circuits.

Yong-Jun Kim, Young-Sik Kim, Ju-Hwan Kim, 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

Hyun-Soo Park, Hyuk-Jun Kwon, Kyungbae Park, 2019, IEEE Journal of Solid-State Circuits.

Soo-Won Kim, Young-Hyun Jun, Jong-Pil Son, 2011, IEICE Trans. Electron..

Jonghyuk Kim, Hoon Lee, Young Choi, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Jong-Wook Jang, Seong-Jin Jang, Hwa-seon Kim, 2012, FGIT-GDC/IESH/CGAG.

Jong-Wook Jang, Seong-Jin Jang, 2011, The 17th Asia Pacific Conference on Communications.

Soo-Won Kim, Young-Hyun Jun, Jong-Pil Son, 2010, 2010 Proceedings of ESSCIRC.

Jung-Hwan Choi, Seok-Ho Lee, Hundai Choi, 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

Yong-Hwan Kim, Jae-Hun Jung, Kyomin Sohn, 2016, 2016 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Young-Hyun Jun, Hoi-Jun Yoo, Seong-Ho Han, 1998, 1998 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.98CH36215).

Jong-Wook Jang, Seong-Jin Jang, 2011, ICTC 2011.

Reum Oh, Yun-Sang Lee, Byunghyun Lee, 2014, 2014 Symposium on VLSI Circuits Digest of Technical Papers.

Young Choi, Jung-Hwan Choi, Jongeun Lee, 2012, 2012 IEEE International Solid-State Circuits Conference.

Jong-Wook Jang, Seong-Jin Jang, Hwa-seon Kim, 2014, Int. J. Secur. Networks.

O Seongil, Jung Ho Ahn, Nam Sung Kim, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Reum Oh, Dong-Hak Shin, Jae-Hun Jung, 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

Yong Jae Lee, Hyun-Soo Park, Hyuk-Jun Kwon, 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

Woo-Jin Lee, Young-Hyun Jun, Jeong-Don Ihm, 2008, IEEE Journal of Solid-State Circuits.

Sung Kyu Lim, Yarui Peng, Bon Woong Ku, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Young-Hyun Jun, Jeong-Don Ihm, Seung-Jun Bae, 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.