John A. Stratton

发表

Sam S. Stone, John A. Stratton, and Wen-mei W. Hwu, 2011 .

Jason Cong, Yun Liang, Deming Chen, 2011, 2011 IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines.

Wen-mei W. Hwu, Sam S. Stone, John A. Stratton, 2008, LCPC.

Sanjay J. Patel, Steven S. Lumetta, Wen-mei W. Hwu, 2010, ISCA'10.

Geng Liu, Wen-mei W. Hwu, John A. Stratton, 2012, Computer.

Hee-Seok Kim, Wen-mei W. Hwu, John A. Stratton, 2012, 2012 International Conference for High Performance Computing, Networking, Storage and Analysis.

Wen-mei W. Hwu, Shane Ryoo, John A. Stratton, 2009, Computing in Science & Engineering.

Ronald E. Barr, Amir Karimi, J. P. Mohsen, 2008 .

Wen-mei W. Hwu, Wen-Mei W. Hwu, John A. Stratton, 2010, International Journal of Parallel Programming.

Wen-mei W. Hwu, John A. Stratton, Hee-Seok Kim, 2013 .

Sunita Chandrasekaran, Kevin Skadron, Matthias S. Müller, 2014, PMBS@SC.

Jason Cong, Deming Chen, Wen-mei W. Hwu, 2013, TECS.

John A. Stratton, George Sutherland, Daniel R. Johnson, 2006 .

Lillian Pentecost, John A. Stratton, 2015, Co-HPC@SC.

Hee-Seok Kim, Steven S. Lumetta, Wen-mei W. Hwu, 2015, 2015 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Rajiv Ravindran, John A. Stratton, Jyothi Krishna Viswakaran Sreelatha, 2020, 2020 IEEE Workshop on Signal Processing Systems (SiPS).

Jason Cong, Deming Chen, Wen-mei W. Hwu, 2009, ICS.

Hee-Seok Kim, Wen-mei W. Hwu, John A. Stratton, 2012, 2012 International Conference on Field-Programmable Technology.

Wen-mei W. Hwu, Sam S. Stone, Shane Ryoo, 2008, CGO '08.

Mike Murphy, Jaydeep Marathe, Wen-mei W. Hwu, 2010, CGO '10.

Wen-mei W. Hwu, Sam S. Stone, Shane Ryoo, 2008, J. Parallel Distributed Comput..

Wen-mei W. Hwu, John A. Stratton, Christopher I. Rodrigues, 2012 .

Liwen Chang, John A. Stratton, Christopher I. Rodrigues, 2012, 2012 Innovative Parallel Computing (InPar).