Weng-Fai Wong

发表

Chundong Wang, Weng-Fai Wong, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Weng-Fai Wong, Pooja Roy, Manmohan Manoharan, 2014, 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES).

Yongxin Zhu, Weng-Fai Wong, Zhenxin Sun, 2005, 11th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA'05).

Weng-Fai Wong, Khaing Khaing Kyi Win, W. Wong, 2005, HiPC.

Yongxin Zhu, Weng-Fai Wong, Stefan Andrei, 2006, EUC Workshops.

Weng-Fai Wong, Hai Li, Xiaochun Zhu, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Weng-Fai Wong, Amit Sasturkar, Vlad-Mihai Panait, 2004, International Symposium on Code Generation and Optimization, 2004. CGO 2004..

Weng-Fai Wong, Qin Zhao, Rodric Rabbah, 2007, International Symposium on Code Generation and Optimization (CGO'07).

P. S. Thiagarajan, Weng-Fai Wong, Zheng Cui, 2013, ACM Trans. Archit. Code Optim..

Weng-Fai Wong, Zhenxin Sun, Chi-Tsai Yeh, 2011, 2011 Design, Automation & Test in Europe.

Yongxin Zhu, Weng-Fai Wong, 2000, Proceedings Fourth International Conference/Exhibition on High Performance Computing in the Asia-Pacific Region.

Weng-Fai Wong, Chung-Kwong Yuen, Ming-Dong Feng, 1995, PARCO.

Yongxin Zhu, Weng-Fai Wong, Stefan Andrei, 2005, ASP-DAC.

Weng-Fai Wong, C. P. Tan, C. M. Tan, 2002, Proceedings. IEEE International Conference on Cluster Computing.

Weng-Fai Wong, Chung-Kwong Yuen, 1989, [Proceedings 1989] IEEE International Workshop on Tools for Artificial Intelligence.

Yiran Chen, Cheng-Kok Koh, Weng-Fai Wong, 2011, ISLPED '11.

Stephen John Turner, Weng-Fai Wong, Rick Siow Mong Goh, 2015, IEEE Transactions on Parallel and Distributed Systems.

Jun Zhou, Weng-Fai Wong, Zhanglu Yan, 2021, Biomed. Signal Process. Control..

P. S. Thiagarajan, Weng-Fai Wong, Zhenxin Sun, 2005 .

Yiran Chen, Cheng-Kok Koh, Weng-Fai Wong, 2009, 2009 IEEE International Conference on Computer Design.

Weng-Fai Wong, Eiichi Goto, 1995, IEEE Trans. Computers.

Yiran Chen, Cheng-Kok Koh, Weng-Fai Wong, 2009, TACO.

Weng-Fai Wong, Chung-Kwong Yuen, Ming-Dong Feng, 1995, Parallel and Distributed Computing and Systems.

Yiran Chen, Cheng-Kok Koh, Weng-Fai Wong, 2007, 2007 25th International Conference on Computer Design.

Tulika Mitra, Weng-Fai Wong, Stefan Valentin Gheorghita, 2002, 2002 IEEE International Conference on Field-Programmable Technology, 2002. (FPT). Proceedings..

Stephen John Turner, Weng-Fai Wong, Rick Siow Mong Goh, 2013, 2013 IEEE 27th International Symposium on Parallel and Distributed Processing.

Cheng-Kok Koh, Yongxin Zhu, Weng-Fai Wong, 2005, The Fifth International Conference on Computer and Information Technology (CIT'05).

Beng Chin Ooi, H. V. Jagadish, Weng-Fai Wong, 2016, ArXiv.

Weng-Fai Wong, Zhiguo Ge, Jirong Liao, 2003, Proceedings. 2003 IEEE International Conference on Field-Programmable Technology (FPT) (IEEE Cat. No.03EX798).

Weng-Fai Wong, Bing-Hong Wang, Bing-Yang Cao, 2017, 1705.03569.

Krishna V. Palem, Weng-Fai Wong, Pinar Korkmaz, 2001, CASES '01.

Weng-Fai Wong, Saman P. Amarasinghe, Jeffrey Bosboom, 2014, OOPSLA.

P. S. Thiagarajan, Weng-Fai Wong, Zheng Cui, 2012, Bioinform..

Larry Rudolph, Weng-Fai Wong, Qin Zhao, 2006, 2006 International Conference on Parallel Architectures and Compilation Techniques (PACT).

P. S. Thiagarajan, Weng-Fai Wong, Zhenxin Sun, 2004, 25th IEEE International Real-Time Systems Symposium.

Weng-Fai Wong, Qin Zhao, Derek Bruening, 2011, VEE '11.

Weng-Fai Wong, Nhut-Minh Ho, Ramesh Vaddi, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Weng-Fai Wong, Hai Li, Pooja Roy, 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).

Weng-Fai Wong, Hock-Beng Lim, Zhiguo Ge, 2005, International Conference on Field Programmable Logic and Applications, 2005..

Stephen John Turner, Weng-Fai Wong, Rick Siow Mong Goh, 2015, IEEE Transactions on Parallel and Distributed Systems.

Weng-Fai Wong, Liang Peng, Chung-Kwong Yuen, 2000, Proceedings IEEE International Conference on Cluster Computing. CLUSTER 2000.

Weng-Fai Wong, Rick Siow Mong Goh, Huynh Phung Huynh, 2012, HiPC 2012.

Larry Rudolph, Weng-Fai Wong, Qin Zhao, 2007, CGO.

Yong Meng Teo, Weng-Fai Wong, Simon See, 2005, The IEEE Conference on Local Computer Networks 30th Anniversary (LCN'05)l.

Weng-Fai Wong, Liang Peng, Chung-Kwong Yuen, 2002, Proceedings. IEEE International Conference on Cluster Computing.

Weng-Fai Wong, Eiichi Goto, E. Goto, 1994, IEEE Trans. Computers.

Weng-Fai Wong, Eiichi Goto, M. Sato, 1992, Parallel Comput..

Yan Zhang, Jun Zhou, P. S. Thiagarajan, 2015, HSB.

Weng-Fai Wong, Rick Siow Mong Goh, Huynh Phung Huynh, 2012, 2012 SC Companion: High Performance Computing, Networking Storage and Analysis.

Yongxin Zhu, Weng-Fai Wong, Zhenxin Sun, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Weng-Fai Wong, Chung-Kwong Yuen, Ming-Dong Feng, 1995, ICPP.

Weng-Fai Wong, Hock-Beng Lim, Zhiguo Ge, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Xuan Wang, Weng-Fai Wong, Rick Siow Mong Goh, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Weng-Fai Wong, David F. Bacon, Rodric M. Rabbah, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Chundong Wang, Weng-Fai Wong, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Tulika Mitra, Weng-Fai Wong, Joon Edward Sim, 2008, 2008 International Conference on Field-Programmable Technology.

Weng-Fai Wong, Hai Li, Yenni Tim, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Chundong Wang, Weng-Fai Wong, W. Wong, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Hai Li, Weng-Fai Wong, Yenni Tim, 2013, International Symposium on Low Power Electronics and Design (ISLPED).

Wenqing Wu, Hai Li, Weng-Fai Wong, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Yongxin Zhu, Weng-Fai Wong, Weiwei Chen, 2007, 21st International Conference on Advanced Information Networking and Applications Workshops (AINAW'07).

Weng-Fai Wong, Eiichi Goto, 1994, 1994 Proceedings of the Twenty-Seventh Hawaii International Conference on System Sciences.

Weng-Fai Wong, Qin Zhao, Rodric M. Rabbah, 2005, CARN.

Tulika Mitra, Weng-Fai Wong, Jirong Liao, 2003, FPL.

Weng-Fai Wong, Ioana Cutcutache, W. Wong, 2008, Softw. Pract. Exp..

Weng-Fai Wong, Chung-Kwong Yuen, 1990, SIGP.

Gang Chen, Beng Chin Ooi, Yubin Xia, 2015, 2015 IEEE 31st International Conference on Data Engineering.

Yongxin Zhu, Samarjit Chakraborty, Weng-Fai Wong, 2004, International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004..

Weng-Fai Wong, Mariam Reeny George, 2004, Proceedings. 2004 IEEE International Conference on Field- Programmable Technology (IEEE Cat. No.04EX921).

Weng-Fai Wong, C. Y. Ng, Y. Y. Leow, 2006, 2006 IEEE International Conference on Field Programmable Technology.

Weng-Fai Wong, Eiichi Goto, Paul Spee, 1991, Int. J. High Speed Comput..

P. S. Thiagarajan, Weng-Fai Wong, Kathy Dang Nguyen, 2007, 28th IEEE International Real-Time Systems Symposium (RTSS 2007).

Jürgen Teich, Weng-Fai Wong, Joon Edward Sim, 2009, 2009 17th IEEE Symposium on Field Programmable Custom Computing Machines.

Weng-Fai Wong, Qin Zhao, Ioana Cutcutache, 2008, TACO.

Prabhas Chongstitvatana, Chundong Wang, Weng-Fai Wong, 2015, IEICE Electron. Express.

Wayne Luk, Krishna V. Palem, Weng-Fai Wong, 2002, 2002 IEEE International Conference on Field-Programmable Technology, 2002. (FPT). Proceedings..

Francis Eng Hock Tay, Shanshan Liu, Weng-Fai Wong, 2009, 2009 Sixth International Workshop on Wearable and Implantable Body Sensor Networks.

Stephen John Turner, Weng-Fai Wong, Rick Siow Mong Goh, 2012, 2012 IEEE 18th International Conference on Parallel and Distributed Systems.

Weng-Fai Wong, W. Wong, 2005, Asia-Pacific Computer Systems Architecture Conference.

Weng-Fai Wong, Liang Peng, Chung-Kwong Yuen, 2003, CCGrid 2003. 3rd IEEE/ACM International Symposium on Cluster Computing and the Grid, 2003. Proceedings..

Krishna V. Palem, Weng-Fai Wong, Jinwoo Kim, 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

P. S. Thiagarajan, Weng-Fai Wong, Kathy Dang Nguyen, 2007, RTSS 2007.

Weng-Fai Wong, John L. Gustafson, Himeshi De Silva, 2018, 2018 IEEE 25th International Conference on High Performance Computing (HiPC).

Yongxin Zhu, Weng-Fai Wong, S. Andrei, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Weng-Fai Wong, Rick Siow Mong Goh, Huynh Phung Huynh, 2012, PPoPP '12.

Weng-Fai Wong, Rick Siow Mong Goh, Huynh Phung Huynh, 2014, IEEE Transactions on Parallel and Distributed Systems.

Beng Chin Ooi, H. V. Jagadish, Weng-Fai Wong, 2017, 2017 IEEE 33rd International Conference on Data Engineering (ICDE).

Wenjie Zhang, Weng-Fai Wong, Chi-Hung Chi, 2004, WCW.

Jun Zhou, Weng-Fai Wong, W. Wong, 2019, 2019 IEEE 19th International Conference on Bioinformatics and Bioengineering (BIBE).

Weng-Fai Wong, Saman P. Amarasinghe, Jeffrey Bosboom, 2018, ASPLOS.

Weng-Fai Wong, Hariharan Sandanagobalane, Mongkol Ekpanyapong, 2004, ASPLOS XI.

Weng-Fai Wong, Nhut-Minh Ho, W. Wong, 2017, 2017 IEEE High Performance Extreme Computing Conference (HPEC).

Jun Zhou, P. S. Thiagarajan, Weng-Fai Wong, 2017, CMSB.

Chundong Wang, Weng-Fai Wong, Pooja Roy, 2014, LCTES '14.

Zhehui Wang, Weng-Fai Wong, Rick Siow Mong Goh, 2020, ICONS.

Yongxin Zhu, Weng-Fai Wong, Zhenxin Sun, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Yongxin Zhu, Samarjit Chakraborty, Weng-Fai Wong, 2004, CODES+ISSS.

Weng-Fai Wong, Pooja Roy, Jianxing Wang, 2015, 2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES).

Weng-Fai Wong, Chung-Kwong Yuen, Ming-Dong Feng, 1996, Comput. Lang..

Tulika Mitra, Weng-Fai Wong, Zhiguo Ge, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Gang Chen, Beng Chin Ooi, Meihui Zhang, 2016, IEEE Transactions on Knowledge and Data Engineering.

Jun Zhou, Weng-Fai Wong, Yan Zhang, 2019, IEEE Transactions on Cloud Computing.

Gang Chen, Beng Chin Ooi, Hao Zhang, 2019, IEEE Transactions on Big Data.

Weng-Fai Wong, Zhenxin Sun, W. Wong, 2009, 2009 Asia and South Pacific Design Automation Conference.

Weng-Fai Wong, Jun Zhou, R Ramanathan, 2019, IEEE/ACM Transactions on Computational Biology and Bioinformatics.

Weng-Fai Wong, Stefan Andrei, Yorigxin Zhu, 2005 .

Tulika Mitra, Weng-Fai Wong, Lei He, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Jun Zhou, Weng-Fai Wong, Rick Siow Mong Goh, 2019, ACM Trans. Archit. Code Optim..

Chundong Wang, Weng-Fai Wong, W. Wong, 2012, DAC Design Automation Conference 2012.

Tulika Mitra, Weng-Fai Wong, Ju Hwa Pan, 2004, ICCAD 2004.

Stephen John Turner, Weng-Fai Wong, Rick Siow Mong Goh, 2013, 2013 SC - International Conference for High Performance Computing, Networking, Storage and Analysis (SC).

Jürgen Teich, Weng-Fai Wong, Tobias Ziermann, 2010, 2010 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines.

Krishna V. Palem, Weng-Fai Wong, Surendranath Talla, 2001, EMSOFT.

Weng-Fai Wong, Eiichi Goto, Yoshio Oyanagi, 1995, Int. J. High Perform. Comput. Appl..

Ing-Jer Huang, Weng-Fai Wong, Chi-Tsai Yeh, 2011, EURASIP J. Adv. Signal Process..

Weng-Fai Wong, Chung-Kwong Yuen, C. P. Tan, 1999, Proceedings 13th International Parallel Processing Symposium and 10th Symposium on Parallel and Distributed Processing. IPPS/SPDP 1999.

Asha Anoosheh, Weng-Fai Wong, Nhut-Minh Ho, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Martin Rinard, Michael D. Ernst, Jeff H. Perkins, 2009, Symposium on Operating Systems Principles.

Andrew E. Santosa, Weng-Fai Wong, Nhut-Minh Ho, 2019, LCTES.

Stephen John Turner, Weng-Fai Wong, Rubing Duan, 2012, Euro-Par.

Weng-Fai Wong, Yong Lian, Keck Voon Ling, 2011, IEEE Trans. Biomed. Circuits Syst..

Krishna V. Palem, Weng-Fai Wong, Jinwoo Kim, 2004, PDPTA.

Michael D. Ernst, Jeff H. Perkins, Weng-Fai Wong, 2009, SOSP '09.

Weng-Fai Wong, Chung-Kwong Yuen, 1992, Parallel Process. Lett..

Chundong Wang, Weng-Fai Wong, 2012, 012 IEEE 28th Symposium on Mass Storage Systems and Technologies (MSST).

Weng-Fai Wong, Rick Siow Mong Goh, Huynh Phung Huynh, 2011, 2011 IEEE International Parallel & Distributed Processing Symposium.

Weng-Fai Wong, Yoshio Oyanagi, E. Goto, 1994, Proceedings of TENCON'94 - 1994 IEEE Region 10's 9th Annual International Conference on: 'Frontiers of Computer Technology'.

Weng-Fai Wong, Rick Siow Mong Goh, Huynh Phung Huynh, 2012, 2012 SC Companion: High Performance Computing, Networking Storage and Analysis.

Samarjit Chakraborty, Weng-Fai Wong, Gordon J. Brebner, 2007, J. VLSI Signal Process..

Bingsheng He, Weng-Fai Wong, Jiong He, 2019, 2019 29th International Conference on Field Programmable Logic and Applications (FPL).

Weng-Fai Wong, Liang Peng, Chung-Kwong Yuen, 2003, Parallel Comput..

Bingsheng He, Weng-Fai Wong, Deming Chen, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Weng-Fai Wong, Duy-Thanh Nguyen, Minh-Son Le, 2021, IEEE Access.

Weng-Fai Wong, John L. Gustafson, Ik Joon Chang, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Weng-Fai Wong, Zhanglu Yan, Jun Zhou, 2021, AAAI.

Weng-Fai Wong, Wei Zhang, Rick Siow Mong Goh, 2021, ArXiv.

Weng-Fai Wong, Myat Thu Linn Aung, Chuping Qu, 2021, 2021 31st International Conference on Field-Programmable Logic and Applications (FPL).

Weng-Fai Wong, Yingnan Cui, Chuping Qu, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Bingsheng He, Weng-Fai Wong, Deming Chen, 2021, FPGA.