Gu-Yeon Wei

发表

Rob A. Rutenbar, Paul N. Whatmough, Yuji Chai, 2020, 2020 IEEE Hot Chips 32 Symposium (HCS).

Joseph Manzano, Marco Minutoli, Vito Giovanni Castellana, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Hsien-Hsin S. Lee, Brandon Reagen, Wooseok Choi, 2020, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Alexander M. Rush, Lillian Pentecost, Victor Sanh, 2020, ArXiv.

Carole-Jean Wu, Gu-Yeon Wei, David Brooks, 2020, 2020 IEEE International Symposium on Workload Characterization (IISWC).

Rob A. Rutenbar, Paul N. Whatmough, Glenn G. Ko, 2020, 2020 IEEE Symposium on VLSI Circuits.

Joseph Manzano, Marco Minutoli, Vito Giovanni Castellana, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).