Christopher I. Rodrigues

发表

Klaus Schulten, John E. Stone, David J. Hardy, 2011 .

Wen-mei W. Hwu, Shane Ryoo, Christopher I. Rodrigues, 2007, LCPC.

Geng Liu, Wen-mei W. Hwu, John A. Stratton, 2012, Computer.

Klaus Schulten, John E. Stone, Wen-mei W. Hwu, 2008, CF '08.

Wen-mei W. Hwu, Shane Ryoo, John A. Stratton, 2009, Computing in Science & Engineering.

Juan Gómez-Luna, Wen-mei W. Hwu, Izzat El Hajj, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Wen-mei W. Hwu, Matthew I. Frank, Shane Ryoo, 2007, Trans. High Perform. Embed. Archit. Compil..

Stephen Jones, Wen-mei W. Hwu, Ian Buck, 2013, The Journal of Supercomputing.

Sam S. Stone, Shane Ryoo, and Wen-mei W. Hwu, 2011 .

Wen-mei W. Hwu, Abdul Dakkak, Christopher I. Rodrigues, 2015 .

Wen-mei W. Hwu, Sam S. Stone, Shane Ryoo, 2008, CGO '08.

Wen-mei W. Hwu, Sam S. Stone, Shane Ryoo, 2008, J. Parallel Distributed Comput..

Stephen Jones, Wen-mei W. Hwu, Ian Buck, 2010, 2010 10th IEEE International Conference on Computer and Information Technology.

Wen-mei W. Hwu, John A. Stratton, Christopher I. Rodrigues, 2012 .

Xuhao Chen, Zhiying Wang, Wen-mei W. Hwu, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Liwen Chang, John A. Stratton, Christopher I. Rodrigues, 2012, 2012 Innovative Parallel Computing (InPar).

Peng Wu, Amarin Phaosawasdi, Christopher I. Rodrigues, 2018, WPMVP@PPoPP.