Rajeev Balasubramonian

发表

Seth H. Pugsley, Josef B. Spjut, David W. Nellans, 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Karthik Ramani, Rajeev Balasubramonian, John B. Carter, 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

David W. Nellans, Rajeev Balasubramonian, Al Davis, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Miao Hu, Rajeev Balasubramonian, John Paul Strachan, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Rajeev Balasubramonian, Chandrasekhar Nagarajan, Mohit Tiwari, 2019, ASPLOS.

Aamer Jaleel, Seth H. Pugsley, Rajeev Balasubramonian, 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

Rajeev Balasubramonian, Naveen Muralimanohar, 2007, ISCA '07.

David W. Nellans, Rajeev Balasubramonian, Kshitij Sudan, 2012, International Journal of Parallel Programming.

Rajeev Balasubramonian, Ali Shafiee, Meysam Taassori, 2018, HASP@ISCA.

Rajeev Balasubramonian, Pierre-Emmanuel Gaillardon, Surya Narayanan, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Norman P. Jouppi, Rajeev Balasubramonian, Naveen Muralimanohar, 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

Karthik Ramani, Rajeev Balasubramonian, Naveen Muralimanohar, 2006, 2006 IEEE International Symposium on Performance Analysis of Systems and Software.

Yan Solihin, Rajeev Balasubramonian, Li Zhao, 2011, IEEE Micro.

Rajeev Balasubramonian, Niladrish Chatterjee, Al Davis, 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi, 2000, MICRO 33.

Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi, 2001, Proceedings 28th Annual International Symposium on Computer Architecture.

Rajeev Balasubramonian, Naveen Muralimanohar, Aniruddha N. Udipi, 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

Norman P. Jouppi, Rajeev Balasubramonian, Naveen Muralimanohar, 2009 .

David W. Nellans, Rajeev Balasubramonian, Kshitij Sudan, 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Rajeev Balasubramonian, Timothy Mark Pinkston, 2011, Encyclopedia of Parallel Computing.

Rajeev Balasubramonian, Vivek Srikumar, Naveen Muralimanohar, 2018, IEEE Micro.

Rajeev Balasubramonian, Karl Taht, 2017, 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Norman P. Jouppi, Rajeev Balasubramonian, Naveen Muralimanohar, 2008, IEEE Micro.

Seth H. Pugsley, Rajeev Balasubramonian, Chris Wilkerson, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Rajeev Balasubramonian, R. Balasubramonian, 2004, ICS '04.

Eduardo Pinheiro, Rajeev Balasubramonian, Sandhya Dwarkadas, 2000, OPSR.

Rajeev Balasubramonian, Niti Madan, R. Balasubramonian, 2007, IEEE Transactions on Parallel and Distributed Systems.

Rajeev Balasubramonian, Karl Taht, James Greensky, 2019, 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi, 2001, ISCA 2001.

Rajeev Balasubramonian, Kshitij Sudan, K. Sudan, 2013 .

Rajeev Balasubramonian, John Paul Strachan, Vivek Srikumar, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Norman P. Jouppi, Rajeev Balasubramonian, Niladrish Chatterjee, 2012, IEEE International Symposium on High-Performance Comp Architecture.

Feifei Li, Seth H. Pugsley, Rajeev Balasubramonian, 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

Rajeev Balasubramonian, Li Zhao, Naveen Muralimanohar, 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

Rajeev Balasubramonian, Niti Madan, R. Balasubramonian, 2007 .

Paolo Faraboschi, Rajeev Balasubramonian, Naveen Muralimanohar, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Feifei Li, Vijayalakshmi Srinivasan, Seth H. Pugsley, 2014, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi, 2002 .

Michael L. Scott, Rajeev Balasubramonian, Sandhya Dwarkadas, 2002, Proceedings.International Conference on Parallel Architectures and Compilation Techniques.

Norman P. Jouppi, Rajeev Balasubramonian, Niladrish Chatterjee, 2010, ISCA.

Rajeev Balasubramonian, Niti Madan, 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

Srinivas Aluru, Gabriel Zachmann, Paul Feautrier, 2011, Encyclopedia of Parallel Computing.

Norman P. Jouppi, Rajeev Balasubramonian, Naveen Muralimanohar, 2011, Multi-Core Cache Hierarchies.

Rajeev Balasubramonian, Sandhya Dwarkadas, R. Balasubramonian, 2003 .

Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi, 2001, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34.

Vijayalakshmi Srinivasan, Rajeev Balasubramonian, Kshitij Sudan, 2012, IEEE International Symposium on High-Performance Comp Architecture.

Min Xu, Rajeev Balasubramonian, Kshitij Sudan, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

Rajeev Balasubramonian, Naveen Muralimanohar, Aniruddha N. Udipi, 2009, 2009 International Conference on High Performance Computing (HiPC).

Karthik Ramani, Rajeev Balasubramonian, Naveen Muralimanohar, 2004 .

Rajeev Balasubramonian, Pierre-Emmanuel Gaillardon, Ryan Stutsman, 2019, MICRO.

Andrew B. Kahng, Rajeev Balasubramonian, Naveen Muralimanohar, 2017, ACM Trans. Archit. Code Optim..

Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi, 2003, ISCA '03.

David W. Nellans, Rajeev Balasubramonian, Niladrish Chatterjee, 2010, ASPLOS XV.

Karthik Ramani, Rajeev Balasubramonian, John B. Carter, 2006, IEEE Micro.

Rajeev Balasubramonian, Ali Shafiee, Meysam Taassori, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Yan Solihin, Rajeev Balasubramonian, Li Zhao, 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

Rajeev Balasubramonian, Niladrish Chatterjee, Niladrish Chatterjee, 2013 .

Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi, 2000 .

Steven Swanson, Jichuan Chang, Rajeev Balasubramonian, 2014, IEEE Micro.

Michael L. Scott, Rajeev Balasubramonian, Sandhya Dwarkadas, 2002, Proceedings Eighth International Symposium on High Performance Computer Architecture.

Rajeev Balasubramonian, Surya Narayanan, Karl Taht, 2018, 2018 1st Workshop on Energy Efficient Machine Learning and Cognitive Computing for Embedded Applications (EMC2).

Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi, 2001 .

Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi, 2001, MICRO.

Rajeev Balasubramonian, Mohit Tiwari, Ali Shafiee, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Rajeev Balasubramonian, Ali Shafiee, Surya Narayanan, 2017, 2017 International Joint Conference on Neural Networks (IJCNN).

Norman P. Jouppi, Rajeev Balasubramonian, Naveen Muralimanohar, 2011 .

Tao Zhang, Cong Xu, Shimeng Yu, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Rajeev Balasubramonian, Pierre-Emmanuel Gaillardon, Surya Narayanan, 2019, MICRO.

Feifei Li, Rajeev Balasubramonian, Mohit Tiwari, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Rajeev Balasubramonian, Niladrish Chatterjee, Jung-Sik Kim, 2016, 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Rajeev Balasubramonian, 2014, ASPLOS 2014.

Rajeev Balasubramonian, Boris Grot, 2016, IEEE Micro.

Erik Brunvand, David W. Nellans, Rajeev Balasubramonian, 2010, 2010 IEEE International Symposium on Performance Analysis of Systems & Software (ISPASS).

Rajeev Balasubramonian, Alaa R. Alameldeen, Ryan Stutsman, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Rajeev Balasubramonian, Naveen Muralimanohar, Yoocharn Jeon, 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

Rajeev Balasubramonian, John B. Carter, Kshitij Sudan, 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

Karthik Ramani, Rajeev Balasubramonian, Naveen Muralimanohar, 2005, 11th International Symposium on High-Performance Computer Architecture.

Rajeev Balasubramonian, Ali Shafiee, Meysam Taassori, 2018, ASPLOS.

Seth H. Pugsley, Rajeev Balasubramonian, Mohit Tiwari, 2014, HASP@ISCA.

Norman P. Jouppi, Rajeev Balasubramonian, Naveen Muralimanohar, 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

Ahmed Louri, Derek Chiou, Hyesoon Kim, 2018, 2010 International Conference on e-Business (ICE-B).

Rajeev Balasubramonian, Manu Awasthi, Vivek Venkatesan, 2007, J. Instr. Level Parallelism.

Feifei Li, Vijayalakshmi Srinivasan, Seth H. Pugsley, 2014, IEEE Micro.

Rajeev Balasubramonian, Al Davis, Ali Shafiee, 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

Rajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi, 2003, IEEE Trans. Computers.

Seth H. Pugsley, Rajeev Balasubramonian, Naveen Muralimanohar, 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

Rajeev Balasubramonian, 2016, ASPLOS 2016.

Zhen Fang, Ravi Iyer, Rajeev Balasubramonian, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

Rajeev Balasubramonian, R. Balasubramonian, 2016, IEEE Solid-State Circuits Magazine.

Rajeev Balasubramonian, Surya Narayanan, Sumanth Gudaparthi, 2018, 2018 1st Workshop on Energy Efficient Machine Learning and Cognitive Computing for Embedded Applications (EMC2).

Rajeev Balasubramonian, Abhishek Ranjan, Anupam Chakravorty, 2006 .

Michael C. Huang, Pradip Bose, Eby G. Friedman, 2003, Computer.

Ravi Iyer, Sadagopan Srinivasan, Rajeev Balasubramonian, 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).

Rajeev Balasubramonian, Kshitij Sudan, Mary W. Hall, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Vijayalakshmi Srinivasan, Rajeev Balasubramonian, Sandhya Dwarkadas, 2003, PACS.

Rajeev Balasubramonian, R. Balasubramonian, 2019, Synthesis Lectures on Computer Architecture.

Erik Brunvand, David W. Nellans, Rajeev Balasubramonian, 2010, ISCA'10.

Norman P. Jouppi, Rajeev Balasubramonian, Naveen Muralimanohar, 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

Rajeev Balasubramonian, Gabriel H. Loh, Niladrish Chatterjee, 2014, SC14: International Conference for High Performance Computing, Networking, Storage and Analysis.

Erik Brunvand, David W. Nellans, Rajeev Balasubramonian, 2009, OPSR.

Rajeev Balasubramonian, Anirban Nag, R. Balasubramonian, 2021, MICRO.

Feifei Li, Rajeev Balasubramonian, Jeff M. Phillips, 2021, IEEE Transactions on Knowledge and Data Engineering.

Rajeev Balasubramonian, Niladrish Chatterjee, Meysam Taassori, 2014 .

Rajeev Balasubramonian, Manjunath Shevgoor, Akhila Gundu, 2014 .

Rajeev Balasubramonian, Niti Madan, R. Balasubramonian, 2005 .

Rajeev Balasubramonian, Naveen Muralimanohar, Manjunath Shevgoor, 2014 .