Chenchen Deng

发表

Chenchen Deng, Leibo Liu, Yang Liu, 2017, IEEE Transactions on Circuits and Systems II: Express Briefs.

Chenchen Deng, Leibo Liu, Shouyi Yin, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Dong Wang, Chenchen Deng, Leibo Liu, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Chenchen Deng, Leibo Liu, Shouyi Yin, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Chenchen Deng, Leibo Liu, Shouyi Yin, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chenchen Deng, Leibo Liu, Shouyi Yin, 2017, IEEE Transactions on Parallel and Distributed Systems.

Chenchen Deng, Leibo Liu, Shouyi Yin, 2017, IET Image Process..

Chenchen Deng, Shouyi Yin, Junbin Wang, 2016, IEEE Transactions on Parallel and Distributed Systems.

Jian Weng, Yangdong Deng, Chenchen Deng, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Chenchen Deng, Chen Chen, Min Zhu, 2020, IACR Cryptol. ePrint Arch..

Xiaohang Wang, Chenchen Deng, Liang Wang, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Youyu Wu, Hui Li, Chenchen Deng, 2020, IEEE Transactions on Circuits and Systems II: Express Briefs.

Chenchen Deng, Leibo Liu, Shouyi Yin, 2015, Science China Information Sciences.

Chenchen Deng, Leibo Liu, Shouyi Yin, 2016, IEEE Transactions on Information Forensics and Security.

Chenchen Deng, Leibo Liu, Shouyi Yin, 2014, 2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT).

Bo Wang, Chenchen Deng, Shouyi Yin, 2017, IEEE Transactions on Information Forensics and Security.

Chenchen Deng, Leibo Liu, Shouyi Yin, 2015, The 20th Asia and South Pacific Design Automation Conference.

Xiaohang Wang, Chenchen Deng, Jie Han, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Bo Wang, Chenchen Deng, Leibo Liu, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chenchen Deng, Leibo Liu, Shouyi Yin, 2014, 2014 IEEE Frontiers in Education Conference (FIE) Proceedings.

Steve Collins, Chenchen Deng, 2010, 2010 IEEE Asia Pacific Conference on Circuits and Systems.

Qiang Wang, Chenchen Deng, Leibo Liu, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Chenchen Deng, Leibo Liu, Shouyi Yin, 2014, Science China Information Sciences.

Chenchen Deng, Shaojun Wei, Min Zhu, 2021, IEEE Transactions on Circuits and Systems I: Regular Papers.

Chen Yang, Chenchen Deng, Shouyi Yin, 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.