S.M. Reddy

发表

I. Pomeranz, Huaxing Tang, S.M. Reddy, 2002, Proceedings. International Test Conference.

S.M. Reddy, D.H. Lee, S. Reddy, 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

S.M. Reddy, S. Kajihara, K.K. Saluja, 2004, Proceedings. Ninth IEEE European Test Symposium, 2004. ETS 2004..

I. Pomeranz, S.M. Reddy, S. Kajihara, 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.