Daniel A. Jiménez

发表

Onur Mutlu, Samira Manabi Khan, Alaa R. Alameldeen, 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

Daniel A. Jiménez, 2009, TACO.

Daniel A. Jiménez, Ulrich Kremer, Chunling Hu, 2005, CARN.

Mateo Valero, Adrián Cristal, Daniel A. Jiménez, 2005, ISHPC.

Zhe Wang, Daniel A. Jiménez, Yingying Tian, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Francisco J. Cazorla, Mateo Valero, Adrián Cristal, 2007, 16th International Conference on Parallel Architecture and Compilation Techniques (PACT 2007).

Reena Panda, Daniel A. Jiménez, Paul Gratz, 2012, IEEE Computer Architecture Letters.

Daniel A. Jiménez, Ulrich Kremer, Chunling Hu, 2005, 19th IEEE International Parallel and Distributed Processing Symposium.

Seth H. Pugsley, Daniel A. Jiménez, Paul Gratz, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Babak Falsafi, Samira Manabi Khan, Daniel A. Jiménez, 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Zhe Wang, Samira Manabi Khan, Daniel A. Jiménez, 2012, IEEE International Symposium on High-Performance Comp Architecture.

Samira Manabi Khan, Daniel A. Jiménez, Gabriel H. Loh, 2014, ICS '14.

Daniel A. Jiménez, Bradford M. Beckmann, Joseph L. Greathouse, 2015, GPGPU@PPoPP.

Daniel A. Jiménez, Doug Burger, Renée St. Amant, 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.

Tao Zhang, Yuan Xie, Zhe Wang, 2016, 2016 28th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD).

Daniel A. Jiménez, Paul Gratz, Hyungjun Kim, 2014, IEEE Transactions on Computers.

Daniel A. Jiménez, Samira Mirbagher Ajorpaz, Elba Garza, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Samira Manabi Khan, Daniel A. Jiménez, 2010, 2010 IEEE International Conference on Computer Design.

Zhe Wang, Daniel A. Jiménez, Zhe Wang, 2011, 2011 IEEE International Symposium on Workload Characterization (IISWC).

Daniel A. Jiménez, D. Jiménez, 2003, MICRO.

Daniel A. Jiménez, Doug Burger, Renée St. Amant, 2009, IEEE Micro.

Daniel A. Jiménez, Ulrich Kremer, Chunling Hu, 2009, Trans. High Perform. Embed. Archit. Compil..

Zhe Wang, Chris Wilkerson, Daniel A. Jiménez, 2018, CF.

Valerio Schiavoni, Frank Klawonn, Osman S. Unsal, 2019, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Samira Manabi Khan, Daniel A. Jiménez, Yingying Tian, 2013, TACO.

Daniel A. Jiménez, D. Jiménez, 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

Daniel A. Jiménez, Luna Backes, Luna Backes, 2019, MEMSYS.

Cong Xu, Yuan Xie, Zhe Wang, 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

Francisco J. Cazorla, Mateo Valero, Jaume Abella, 2015, ACM Trans. Archit. Code Optim..

Daniel A. Jiménez, D. Jiménez, 2007, J. Instr. Level Parallelism.

Zhe Wang, Samira Manabi Khan, Daniel A. Jiménez, 2012, MSPC '12.

Samira Manabi Khan, Daniel A. Jiménez, Yingying Tian, 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

Daniel A. Jiménez, Elvira Teran, D. Jiménez, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Francisco J. Cazorla, Mateo Valero, Alexander V. Veidenbaum, 2008, 2008 International Symposium on Computer Architecture.

Daniel A. Jiménez, D. Jiménez, 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

Daniel A. Jiménez, Ulrich Kremer, Chunling Hu, 2007, HiPEAC.

Daniel A. Jiménez, Samira Mirbagher Ajorpaz, Elba Garza, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Zhe Wang, Samira Manabi Khan, Daniel A. Jiménez, 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

Daniel A. Jiménez, Yingying Tian, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Daniel A. Jiménez, Calvin Lin, D. Jiménez, 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

Daniel A. Jiménez, 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).

Daniel A. Jiménez, Ravi V. Batchu, D. Jiménez, 2004, Eighth Workshop on Interaction between Compilers and Computer Architectures, 2004. INTERACT-8 2004..

Mateo Valero, Adrián Cristal, Daniel A. Jiménez, 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..

Daniel A. Jiménez, Paul Gratz, Hyungjun Kim, 2011, Proceedings of the Fifth ACM/IEEE International Symposium.

Daniel A. Jiménez, D. Jiménez, 2005, PLDI '05.

Daniel A. Jiménez, Ulrich Kremer, Chunling Hu, 2007, Int. J. Embed. Syst..

Adrián Cristal, Daniel A. Jiménez, Miquel Pericàs, 2005, 17th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD'05).

Daniel A. Jiménez, 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Reena Panda, Jinchun Kim, Daniel A. Jiménez, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Daniel A. Jiménez, Ankit Ghiya, Jeff Rupley, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Zhe Wang, Daniel A. Jiménez, Elvira Teran, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Jaydeep P. Kulkarni, Samira Manabi Khan, Alaa R. Alameldeen, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

Daniel A. Jiménez, Calvin Lin, Heather L. Hanson, 2001, Proceedings 2001 International Conference on Parallel Architectures and Compilation Techniques.

Zhe Wang, Daniel A. Jiménez, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Daniel A. Jiménez, Gabriel H. Loh, 2007, International Journal of Parallel Programming.

Daniel A. Jiménez, Calvin Lin, D. Jiménez, 2002, TOCS.

Daniel A. Jiménez, 2009, 2009 21st International Symposium on Computer Architecture and High Performance Computing.

Daniel A. Jiménez, Gabriel H. Loh, 2006, 2006 18th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD'06).

Samira Manabi Khan, Daniel A. Jiménez, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Daniel A. Jiménez, Calvin Lin, Stephen W. Keckler, 2000, MICRO 33.

Daniel A. Jiménez, 2005, J. Instr. Level Parallelism.

Nectarios Koziris, Daniel A. Jiménez, Vasileios Karakostas, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Daniel A. Jiménez, Marc Casas, Boris Grot, 2021, MICRO.

Daniel A. Jiménez, D. Jiménez, 2021, IEEE Micro.

Daniel A. Jiménez, Daniel A. Jim´enez, 2016 .

Bronis R. de Supinski, Daniel A. Jiménez, Christos Kozyrakis, 2015 .

Daniel A. Jiménez, Tanvir Ahmed Khan, Heiner Litz, 2022, 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO).

Seth H. Pugsley, Daniel A. Jiménez, Paul V. Gratz, 2022, ArXiv.

Daniel A. Jiménez, Paul V. Gratz, Reena Panda, 2014, Micro.

Daniel A. Jiménez, Paul V. Gratz, Laith M. AlBarakat, 2022, 2022 IEEE 40th International Conference on Computer Design (ICCD).

Daniel A. Jiménez, Paul V. Gratz, Daniel A. Jiménez, 2020, ICS.

Daniel A. Jiménez, Paul V. Gratz, Laith M. AlBarakat, 2018, IEEE Computer Architecture Letters.

Daniel A. Jiménez, Gilles Pokam, Daniel A. Jiménez, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Daniel A. Jiménez, Paul V. Gratz, Lluc Alvarez, 2022, 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO).

Daniel A. Jiménez, Paul V. Gratz, D. Jiménez, 2020 .

Daniel A. Jiménez, U. Kremer, Chunling Hu, 2022 .

Daniel A. Jiménez, Tanvir Ahmed Khan, Heiner Litz, 2022, ISCA.

Daniel A. Jiménez, S. Keckler, C. Lin, 2000, Proceedings 33rd Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-33 2000.

Daniel A. Jiménez, Paul V. Gratz, Elvira Teran, 2023, IEEE Computer Architecture Letters.

Daniel A. Jiménez, Samira Mirbagher Ajorpaz, Brady Testa, 2022, 2022 IEEE 34th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD).

Daniel A. Jiménez, Marc Casas, Lluc Alvarez, 2020, 2020 IEEE International Symposium on Workload Characterization (IISWC).