Kartikeya Bhardwaj

发表

P. Whatmough, Caleb Tung, Dibakar Gope, 2022, ArXiv.

Kartikeya Bhardwaj, Pravin S. Mane, Jörg Henkel, 2014, Fifteenth International Symposium on Quality Electronic Design.

Yuedong Yang, R. Marculescu, Guihong Li, 2023, ICLR.

Kartikeya Bhardwaj, Radu Marculescu, Guihong Li, 2020, 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW).

Ramon Matas Navarro, Naveen Suda, M. Milosavljevic, 2021, Conference on Machine Learning and Systems.

Kartikeya Bhardwaj, Radu Marculescu, R. Marculescu, 2017, CySWATER@CPSWeek.

Kartikeya Bhardwaj, Radu Marculescu, R. Marculescu, 2017, IEEE Transactions on Sustainable Computing.

Leandro Soares Indrusiak, Kartikeya Bhardwaj, Pravin S. Mane, 2013, Reconfigurable Communication-centric Systems-on-Chip.

Y. Mbaki, P. Hagan, W. Atiomo, 2022, International journal of medical education.

Zhangyang Wang, R. Marculescu, Guihong Li, 2023, ArXiv.

Kartikeya Bhardwaj, Radu Marculescu, Guihong Li, 2021, 2021 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

Kartikeya Bhardwaj, Bharat M. Deshpande, Kartikeya Bhardwaj, 2013, 2013 26th International Conference on VLSI Design and 2013 12th International Conference on Embedded Systems.

Kartikeya Bhardwaj, Radu Marculescu, Naveen Suda, 2019, ArXiv.

Kartikeya Bhardwaj, Radu Marculescu, Chingyi Lin, 2019, ACM Trans. Embed. Comput. Syst..

Kartikeya Bhardwaj, Radu Marculescu, Chieh Lo, 2017, Nano Commun. Networks.

Kartikeya Bhardwaj, Radu Marculescu, Chieh Lo, 2016, NANOCOM.

Kartikeya Bhardwaj, Radu Marculescu, Wei Chen, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

J. Moura, R. Marculescu, Umang Bhatt, 2019, ICASSP 2020 - 2020 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

José M. F. Moura, R. Marculescu, Umang Bhatt, 2019, ArXiv.

Zhangyang Wang, R. Marculescu, Guihong Li, 2023, IEEE transactions on pattern analysis and machine intelligence.

R. Marculescu, Guihong Li, Kartikeya Bhardwaj, 2023, ICML.

Kartikeya Bhardwaj, Radu Marculescu, R. Marculescu, 2018, PAKDD.

Kartikeya Bhardwaj, Dibakar Gope, Paul Whatmough, 2021, 2022 Design, Automation & Test in Europe Conference & Exhibition (DATE).