Arash Hazeghi

发表

H.-S. Philip Wong, Jie Deng, Gordon C. Wan, 2006, IEEE/ACM International Conference on Computer-Aided Design.

H.-S. Philip Wong, Nishant Patil, Subhasish Mitra, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Nishant Patil, Subhasish Mitra, Jie Zhang, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Hai Wei, Jie Zhang, H.-S. Philip Wong, 2011, 2011 International Electron Devices Meeting.

Ali Javey, Hong-Yu Chen, Arash Hazeghi, 2010, 2010 International Electron Devices Meeting.

H.-S. Philip Wong, Jie Deng, Albert Lin, 2009 .

Arash Hazeghi, Tejas Krishnamohan, H. Wong, 2006 .