Xiaofan Zhang

发表

Yuhong Li, Deming Chen, Xiaofan Zhang, 2018, 2018 IEEE/CVF Conference on Computer Vision and Pattern Recognition.

Deming Chen, Pierce Chuang, Xiaofan Zhang, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Wen-mei W. Hwu, Deming Chen, W. Hwu, 2020, ACM Great Lakes Symposium on VLSI.

Wen-mei W. Hwu, Deming Chen, W. Hwu, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Yuhong Li, Xiaofan Zhang, Xiaofan Zhang, 2019, ArXiv.

Deming Chen, Xiaofan Zhang, Hanchen Ye, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Jinjun Xiong, Yuhong Li, Deming Chen, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Jinjun Xiong, Deming Chen, Wen-mei W. Hwu, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Kai Zhang, Deming Chen, Yao Chen, 2019, 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Michael Felsberg, Huchuan Lu, Fahad Shahbaz Khan, 2018, ECCV Workshops.

Jinjun Xiong, Deming Chen, Wen-mei W. Hwu, 2021, IEEE Transactions on Parallel and Distributed Systems.

Deming Chen, Zuofu Cheng, Kyle Rupnow, 2017, 2017 27th International Conference on Field Programmable Logic and Applications (FPL).

Jinjun Xiong, Deming Chen, Yao Chen, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Deming Chen, Xiaofan Zhang, Yuhong Li, 2022, IEEE Transactions on Circuits and Systems II: Express Briefs.

Jinjun Xiong, Deming Chen, Kyle Rupnow, 2019, ArXiv.

Qiuwen Lou, Deming Chen, Chao Zhu, 2018, 2018 28th International Conference on Field Programmable Logic and Applications (FPL).

Deming Chen, Yao Chen, Cheng Gong, 2019, 2019 International Joint Conference on Neural Networks (IJCNN).

Jinjun Xiong, Deming Chen, Xiaofan Zhang, 2018, ACM Great Lakes Symposium on VLSI.

Di He, Deming Chen, Zuofu Cheng, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Jason Cong, Peng Wei, Ramesh Karri, 2021, 2021 Formal Methods in Computer Aided Design (FMCAD).