Luís Miguel Silveira

发表

Paulo F. Flores, Luís Gil, Luís Miguel Silveira, 2008, J. Satisf. Boolean Model. Comput..

Luís Miguel Silveira, João M. S. Silva, 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

N. P. van der Meijs, Luís Miguel Silveira, Yu Bi, 2011, 2011 Design, Automation & Test in Europe.

João Paulo Costa, Luís Miguel Silveira, Mike Chou, 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Luís Miguel Silveira, Luís Guerra e Silva, 2011, GLSVLSI '11.

Jacob K. White, Luís Miguel Silveira, Steven B. Leeb, 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

Luís Miguel Silveira, João M. S. Silva, Joel R. Phillips, 2008, 2008 Design, Automation and Test in Europe.

Joao Marques-Silva, Luís Miguel Silveira, Karem A. Sakallah, 2002, TODE.

Luís Miguel Silveira, Gabriela Ciuprina, Jorge Fernandez Villena, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Jacob K. White, Luís Miguel Silveira, Andrew Lumsdaine, 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Luís Miguel Silveira, João M. S. Silva, 2003, VLSI-SOC.

Luís Miguel Silveira, Jorge Fernandez Villena, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Mattan Kamon, Jacob K. White, Luís Miguel Silveira, 1995, Proceedings the European Design and Test Conference. ED&TC 1995.

Luís Miguel Silveira, Joel R. Phillips, Luís Guerra e Silva, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Jacob K. White, Luís Miguel Silveira, Andrew Lumsdaine, 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

Luís Miguel Silveira, Joel R. Phillips, L. M. Silveira, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Zuochang Ye, Luís Miguel Silveira, Joel R. Phillips, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Lawrence L. Wald, Luís Miguel Silveira, Marta Bianciardi, 2016, 2016 IEEE 13th International Symposium on Biomedical Imaging (ISBI).

Luís Miguel Silveira, Jorge Fernandez Villena, L. M. Silveira, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Luís Miguel Silveira, João M. S. Silva, 2007, IET Circuits Devices Syst..

Paulo F. Flores, José C. Monteiro, Luís Miguel Silveira, 2008, PATMOS.

Luís Miguel Silveira, Joel R. Phillips, Carlos P. Coelho, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Jacob K. White, Luís Miguel Silveira, Horácio C. Neto, 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

José C. Monteiro, António Gusmão, Luís Miguel Silveira, 2009, 2009 17th IFIP International Conference on Very Large Scale Integration (VLSI-SoC).

Luís Miguel Silveira, Joel R. Phillips, Luís Guerra e Silva, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Joao Marques-Silva, Luís Miguel Silveira, Luís Guerra e Silva, 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).

Luís Miguel Silveira, Jorge Fernandez Villena, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Luís Miguel Silveira, Joel R. Phillips, Carlos P. Coelho, 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

Mattan Kamon, Jacob K. White, Luís Miguel Silveira, 1999, DAC '99.

Jacob K. White, Luís Miguel Silveira, Ibrahim M. Elfadel, 1994, 31st Design Automation Conference.

Jacob K. White, Luís Miguel Silveira, Ibrahim M. Elfadel, 1996, 1996 IEEE International Conference on Acoustics, Speech, and Signal Processing Conference Proceedings.

Luís Miguel Silveira, Joel R. Phillips, L. M. Silveira, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Luís Miguel Silveira, Jorge Fernandez Villena, L. M. Silveira, 2017, IEEE Transactions on Circuits and Systems I: Regular Papers.

Luís Miguel Silveira, Joel R. Phillips, Luca Daniel, 2002, DAC '02.

Edoardo Charbon, Luís Miguel Silveira, Paolo Miliozzi, 2000, Proceedings - Design Automation Conference.

Luís Miguel Silveira, Joel R. Phillips, Carlos P. Coelho, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Mattan Kamon, Jacob K. White, Luís Miguel Silveira, 1995, 32nd Design Automation Conference.

Luís Miguel Silveira, Joel R. Phillips, 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

Mattan Kamon, Jacob K. White, Luís Miguel Silveira, 1996, Proceedings of International Conference on Computer Aided Design.

Luís Miguel Silveira, Jorge Fernandez Villena, L. M. Silveira, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Luís Miguel Silveira, Joel R. Phillips, L. M. Silveira, 2004, Proceedings. 41st Design Automation Conference, 2004..

Luís Miguel Silveira, Jorge Fernandez Villena, L. M. Silveira, 2008, 2008 Design, Automation and Test in Europe.

Luís Miguel Silveira, Joel R. Phillips, Carlos P. Coelho, 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).

Luís Miguel Silveira, Joel R. Phillips, Carlos P. Coelho, 2006, IEEE Transactions on Circuits and Systems I: Regular Papers.

Luís Miguel Silveira, João M. S. Silva, Joel R. Phillips, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Luís Miguel Silveira, L. M. Silveira, 1994 .

Luís Miguel Silveira, Joel R. Phillips, Carlos P. Coelho, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Luís Miguel Silveira, Luís Guerra e Silva, 2005, IADIS AC.

Mattan Kamon, Jacob K. White, Luís Miguel Silveira, 1998, Proceedings Design, Automation and Test in Europe.

Luís Miguel Silveira, Jorge Fernandez Villena, A. Lucas Martins, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Luís Miguel Silveira, Joel R. Phillips, Luca Daniel, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Luís Miguel Silveira, Joel R. Phillips, Arlindo L. Oliveira, 2003, ICCAD.

Mattan Kamon, Jacob K. White, Luís Miguel Silveira, 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).