K. Ramakrishnan

发表

Narayanan Vijaykrishnan, Yuan Xie, Sungmin Bae, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Dhiraj K. Pradhan, Narayanan Vijaykrishnan, Jawar Singh, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yu Wang, Narayanan Vijaykrishnan, Yu Cao, 2009, International Journal of Parallel Programming.

Yu Wang, Narayanan Vijaykrishnan, Yu Cao, 2009, 2009 Asia and South Pacific Design Automation Conference.

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2009, IEEE Transactions on Computers.

Narayanan Vijaykrishnan, Mary Jane Irwin, Yuan Xie, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Narayanan Vijaykrishnan, Mary Jane Irwin, Yuan Xie, 2008, IEEE Transactions on Dependable and Secure Computing.