M. Irwin

发表

Narayanan Vijaykrishnan, Mary Jane Irwin, Alok Choudhary, 2012 .

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

Chita R. Das, Mary Jane Irwin, Woei Lin, 1989, ICPP.

Mary Jane Irwin, M. J. Irwin, M. Irwin, 2003, IEEE Des. Test Comput..

Mary Jane Irwin, Robert Michael Owens, M. Borah, 1996 .

Mary Jane Irwin, Enrico Macii, Vivek De, 2001, International Symposium on Low Power Electronics and Design.

M. J. Irwin, R. Owens, M. Irwin, 1996, 33rd Design Automation Conference Proceedings, 1996.

M. Kandemir, A. Sivasubramaniam, N. Vijaykrishnan, 2001, Proceedings of the Fourth Annual IEEE International Workshop on Workload Characterization. WWC-4 (Cat. No.01EX538).

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2001, Java Virtual Machine Research and Technology Symposium.

Mahmut T. Kandemir, Mary Jane Irwin, Guangyu Chen, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mary Jane Irwin, Robert Michael Owens, H. Mehta, 1995, 1995 IEEE Symposium on Low Power Electronics. Digest of Technical Papers.

Mary Jane Irwin, Robert Michael Owens, Heung-Nam Kim, 1997, Real Time Imaging.

Mary Jane Irwin, Robert Michael Owens, Heung-Nam Kim, 1995, Proceedings of Conference on Computer Architectures for Machine Perception.

Mary Jane Irwin, Robert Michael Owens, R. Owens, 1987, The Journal of Supercomputing.

Mary Jane Irwin, Robert Michael Owens, Thomas P. Kelliher, 1995, 1995 International Conference on Acoustics, Speech, and Signal Processing.

Mary Jane Irwin, Robert Michael Owens, M. Borah, 1994, Proceedings of 1994 IEEE Workshop on VLSI Signal Processing.

Mary Jane Irwin, Dwight R. Smith, M. Irwin, 1981, 1981 IEEE 5th Symposium on Computer Arithmetic (ARITH).

Mary Jane Irwin, Robert Michael Owens, Manjit Borah, 1996, Proceedings of the Sixth Great Lakes Symposium on VLSI.

Mary Jane Irwin, Robert Michael Owens, M. J. Irwin, 1992, [Proceedings] 1992 IEEE International Symposium on Circuits and Systems.

Mary Jane Irwin, Robert Michael Owens, M. Visllwanatb, 1992, Workshop on VLSI Signal Processing.

Mary Jane Irwin, M. J. Irwin, M. Irwin, 1988, IEEE Trans. Acoust. Speech Signal Process..

Mary Jane Irwin, Robert Michael Owens, Soohong Kim, 1992, Proceedings EURO-DAC '92: European Design Automation Conference.

Narayanan Vijaykrishnan, Mary Jane Irwin, Mahmut Kandemir, 2000, International Symposium on Computer Architecture.

Narayanan Vijaykrishnan, Mary Jane Irwin, Wei Xu, 2004, GLSVLSI '04.

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2002, The VLDB Journal.

Mary Jane Irwin, Robert Michael Owens, Wen-Lin Yang, 1993, Proceedings of EURO-DAC 93 and EURO-VHDL 93- European Design Automation Conference.

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2003, Computer.

Mary Jane Irwin, Sayaka Akioka, Padma Raghavan, 2007, 2007 IEEE International Parallel and Distributed Processing Symposium.

Mary Jane Irwin, Robert Michael Owens, Manjit Borah, 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Mary Jane Irwin, Robert Michael Owens, Mohan Vishwanath, 1992, The Fifth International Conference on VLSI Design.

Mary Jane Irwin, Robert Michael Owens, M. Vishwanath, 1991, Proceedings of the International Conference on Application Specific Array Processors.

Mary Jane Irwin, Robert Michael Owens, M. Vishwanath, 1991, [Proceedings] ICASSP 91: 1991 International Conference on Acoustics, Speech, and Signal Processing.

Mary Jane Irwin, Robert Michael Owens, M. J. Irwin, 1990, IEEE Trans. Computers.

Mary Jane Irwin, Robert Michael Owens, R. Owens, 1989, International Conference on Acoustics, Speech, and Signal Processing,.

Mary Jane Irwin, Robert Michael Owens, R. Owens, 1988, ICASSP-88., International Conference on Acoustics, Speech, and Signal Processing.

Mary Jane Irwin, Robert Michael Owens, R. Owens, 1987, IEEE Transactions on Computers.

Mary Jane Irwin, Robert Michael Owens, R. Owens, 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Mary Jane Irwin, Robert Michael Owens, R. Owens, 1991, Optics & Photonics.

Mary Jane Irwin, Robert Michael Owens, Pao-Po Hou, 1988, 25th ACM/IEEE, Design Automation Conference.Proceedings 1988..

Mary Jane Irwin, Robert Michael Owens, Pao-Po Hou, 1988, [1988] Proceedings. International Conference on Systolic Arrays.

Mary Jane Irwin, Thomas F. La Porta, Piotr Berman, 2005, ISLPED '05.

Mary Jane Irwin, Thomas F. La Porta, Piotr Berman, 2011, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Benjamin Bishop, Mary Jane Irwin, Robert Michael Owens, 1997, Proceedings Fourth IEEE International Workshop on Computer Architecture for Machine Perception. CAMP'97.

Mary Jane Irwin, Robert Michael Owens, M. Vishwanath, 1995 .

Mary Jane Irwin, Robert Michael Owens, M. J. Irwin, 1996 .

Mary Jane Irwin, Robert Michael Owens, Manjit Borah, 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Mary Jane Irwin, Robert Michael Owens, Chetana N. Keltcher, 1994, IEEE Trans. Very Large Scale Integr. Syst..

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2001, Proceedings 15th International Parallel and Distributed Processing Symposium. IPDPS 2001.

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2004, Proceedings. 15th IEEE International Conference on Application-Specific Systems, Architectures and Processors, 2004..

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2002, TECS.

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2004, IEEE Transactions on Parallel and Distributed Systems.

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2003, Proceedings International Parallel and Distributed Processing Symposium.

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mary Jane Irwin, Ronald F. Boisvert, M. Irwin, 2006, CACM.

M. Kandemir, Yuan Xie, N. Vijaykrishnan, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Mary Jane Irwin, Padma Raghavan, Konrad Malkowski, 2007, 2007 IEEE International Parallel and Distributed Processing Symposium.

Mary Jane Irwin, Ingyu Lee, Padma Raghavan, 2006, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium.

Mary Jane Irwin, Robert Michael Owens, TingTing Hwang, 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Mary Jane Irwin, Kenan Unlu, Sacit M. Cetiner, 2007 .

N. Vijaykrishnan, M. Irwin, R. Krishnan, 2007 .

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2004, International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004..

Narayanan Vijaykrishnan, Mary Jane Irwin, Yuan Xie, 2009, 2009 IEEE/ACM International Symposium on Nanoscale Architectures.

M. Kandemir, F. Catthoor, N. Vijaykrishnan, 2003, Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003. ISLPED '03..

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2007, IET Comput. Digit. Tech..

Narayanan Vijaykrishnan, Mary Jane Irwin, Yuh-Fang Tsai, 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2004, FPGA '04.

Narayanan Vijaykrishnan, Mary Jane Irwin, Theocharis Theocharides, 2004, IEEE International SOC Conference, 2004. Proceedings..

Mary Jane Irwin, Robert Michael Owens, Huzefa Mehta, 1996, Proceedings of the Sixth Great Lakes Symposium on VLSI.

Mary Jane Irwin, Robert Michael Owens, Huzefa Mehta, 1997, Proceedings of 1997 International Symposium on Low Power Electronics and Design.

Narayanan Vijaykrishnan, Mary Jane Irwin, Kevin M. Irick, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Narayanan Vijaykrishnan, Mary Jane Irwin, Theocharis Theocharides, 2006 .

Narayanan Vijaykrishnan, Mary Jane Irwin, Theocharis Theocharides, 2005, Adv. Comput..

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Mary Jane Irwin, Robert Michael Owens, R. Owens, 1990, J. VLSI Signal Process..

Mary Jane Irwin, Robert Michael Owens, R. Owens, 1988, Proceedings 1988 IEEE International Conference on Computer Design: VLSI.

Mary Jane Irwin, Robert Michael Owens, R. Owens, 1987, 24th ACM/IEEE Design Automation Conference.

Narayanan Vijaykrishnan, Mary Jane Irwin, Yuh-Fang Tsai, 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mary Jane Irwin, Robert Michael Owens, Raminder Singh Bajwa, 1993, [1993] Proceedings Seventh International Parallel Processing Symposium.

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2005, J. Parallel Distributed Comput..

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2009, IEEE Transactions on Computers.

Mary Jane Irwin, Yuan Xie, Jishen Zhao, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2002, Proceedings.International Conference on Parallel Architectures and Compilation Techniques.