D. E. Thomas

发表

R. J. Cloutier, D. E. Thomas, 1990, 27th ACM/IEEE Design Automation Conference.

J. M. Paul, S. Peffers, D. E. Thomas, 2000, Proceedings of the Eighth International Workshop on Hardware/Software Codesign. CODES 2000 (IEEE Cat. No.00TH8518).

Rob A. Rutenbar, John Paul Shen, Andrzej J. Strojwas, 1985 .

Donald E. Thomas, Philip R. Moorby, Donald E. Thomas, 1998 .

Philip R. Moorby, Donald E. Thomas, Donald E. Thomas, 2002 .

Donald E. Thomas, S. L. Coumeri, Sari L. Coumeri, 1999, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).

Herman Schmit, Donald E. Thomas, H. Schmit, 1995, Proceedings of the Eighth International Symposium on System Synthesis.

Daniel P. Siewiorek, Donald E. Thomas, Don L. Scharfetter, 1978, Computer.

Herman Schmit, Donald E. Thomas, H. Schmit, 1995, Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.

Daniel P. Siewiorek, Donald E. Thomas, Alice C. Parker, 1981 .

Andrew S. Cassidy, Donald E. Thomas, JoAnn M. Paul, 2005, TODE.

Donald E. Thomas, JoAnn M. Paul, Jeffrey E. Nelson, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Andrew S. Cassidy, Donald E. Thomas, JoAnn M. Paul, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Donald E. Thomas, JoAnn M. Paul, Christopher M. Eatedali, 2002, Proceedings of the Tenth International Symposium on Hardware/Software Codesign. CODES 2002 (IEEE Cat. No.02TH8627).

Donald E. Thomas, JoAnn M. Paul, Arne J. Suppé, 2002, Proceedings 16th International Parallel and Distributed Processing Symposium.

Donald E. Thomas, JoAnn M. Paul, J. M. Paul, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Donald E. Thomas, JoAnn M. Paul, Sandra J. Weber, 2001, IEEE Trans. Very Large Scale Integr. Syst..

J. M. Paul, N.K. Tibrewala, D.E. Thomas, 2001, Ninth International Symposium on Hardware/Software Codesign. CODES 2001 (IEEE Cat. No.01TH8571).

Rajeev Gandhi, Priya Narasimhan, Howie Choset, 2005, TECS.

Donald E. Thomas, John A. Nestor, Robert A. Walker, 1989 .

Donald E. Thomas, Elizabeth D. Lagnese, E. Lagnese, 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Daniel P. Siewiorek, Donald E. Thomas, Edward A. Snow, 1978, 15th Design Automation Conference.

Daniel P. Siewiorek, Donald E. Thomas, D. Siewiorek, 1981, IEEE Transactions on Computers.

Donald E. Thomas, JoAnn M. Paul, Simon N. Peffers, 2000, Proceedings 37th Design Automation Conference.

J. M. Paul, D.E. Thomas, J.M. Paul, 1999, Proceedings of the Seventh International Workshop on Hardware/Software Codesign (CODES'99) (IEEE Cat. No.99TH8450).

Donald E. Thomas, S. L. Coumeri, Sari L. Coumeri, 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

Donald E. Thomas, Philip R. Moorby, P. Moorby, 1990 .

Donald E. Thomas, Robert A. Walker, R. Walker, 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Donald E. Thomas, Thaddeus J. Kowalski, D. E. Thomas, 1985, 22nd ACM/IEEE Design Automation Conference.

Donald E. Thomas, Charles Y. Hitchcock, D. E. Thomas, 1983, 20th Design Automation Conference Proceedings.

Donald E. Thomas, D. E. Thomas, 1989 .

Xiang Lin, Donald E. Thomas, R. D. Blanton, 2017, ACM Great Lakes Symposium on VLSI.

Donald E. Thomas, Brett H. Meyer, Adam S. Hartman, 2014, TODE.

Donald E. Thomas, Prashant Sawkar, Prashant S. Sawkar, 1995, 32nd Design Automation Conference.

Herman Schmit, Donald E. Thomas, Donald E. Thomas, 1997, IEEE Trans. Very Large Scale Integr. Syst..

Herman Schmit, Donald E. Thomas, Jay K. Adams, 1993, IEEE Des. Test Comput..

Donald E. Thomas, R. S. Ramchandani, D. E. Thomas, 1994, Proceedings., International Test Conference.

Donald E. Thomas, D. L. Springer, D. E. Thomas, 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.