Hamid Mahmoodi

发表

Anuj Pushkarna, Sajna Raghavan, Hamid Mahmoodi, 2010, 23rd IEEE International SOC Conference.

Hamid Mahmoodi, Vish Ganti, 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).

Hamid Mahmoodi, Rajani Kuchipudi, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Swarup Bhunia, Hamid Mahmoodi, Somnath Paul, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Behzad Ebrahimi, Ali Afzali-Kusha, Hamid Mahmoodi, 2014, Microelectron. Reliab..

Hamid Mahmoodi, Joyce Yeung, 2006, 2006 IEEE International SOC Conference.

Kaushik Roy, Swarup Bhunia, Hamid Mahmoodi, 2008, J. Electron. Test..

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2005, 14th Asian Test Symposium (ATS'05).

Kaushik Roy, Hunsoo Choo, Hamid Mahmoodi, 2004, 2004 IEEE International Conference on Acoustics, Speech, and Signal Processing.

Farshad Moradi, Hamid Mahmoodi, Jens Kargaard Madsen, 2012, 2012 19th IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2012).

Hamid Mahmoodi, Eghbal G. Mansoori, 2012 .

Hamid Mahmoodi, Aliakbar Ghadiri, H. Mahmoodi, 2005, 2005 IEEE International Symposium on Circuits and Systems.

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Houman Homayoun, Hamid Mahmoodi, Hassan Salmani, 2016, Microelectron. Reliab..

Fazel Sharifi, Sepehr Tabrizchi, Abdel-Hameed A. Badawy, 2020, Electronics.

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2002, J. Circuits Syst. Comput..

Kaushik Roy, Swarup Bhunia, Hamid Mahmoodi, 2005, Design, Automation and Test in Europe.

Houman Homayoun, Hamid Mahmoodi, Hassan Salmani, 2016, 2016 17th International Symposium on Quality Electronic Design (ISQED).

Kaushik Roy, Hunsoo Choo, Hamid Mahmoodi, 2002, ISLPED '02.

Houman Homayoun, Hamid Mahmoodi, Adarsh Reddy Ashammagari, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Hamid Mahmoodi, Vishwanadh Tirumalashetty, 2007, 2007 IEEE International Symposium on Circuits and Systems.

Behzad Ebrahimi, Ali Afzali-Kusha, Hamid Mahmoodi, 2016, Microelectron. Reliab..

Hamid Mahmoodi, Ankitchandra Shah, 2010, 23rd IEEE International SOC Conference.

Farshad Moradi, Hamid Mahmoodi, Snorre Aunet, 2008, 2008 IEEE International SOC Conference.

Kaushik Roy, Farshad Moradi, Hamid Mahmoodi, 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).

Reza Lotfi, Hamid Mahmoodi, Khalil Mafinezhad, 2011, IEICE Electron. Express.

Kaushik Roy, Swarup Bhunia, Hamid Mahmoodi, 2004 .

Kaushik Roy, Hamid Mahmoodi, Matthew Cooke, 2005, GLSVLSI '05.

Kaushik Roy, Swarup Bhunia, Hamid Mahmoodi, 2005, 2005 International Conference on Computer Design.

Marilyn Wolf, Jason Schlessman, Hamid Mahmoodi, 2010, IEEE Design & Test of Computers.

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2005, IEEE International Conference on Test, 2005..

Kaushik Roy, Ashish Goel, Swarup Bhunia, 2006, Asia and South Pacific Conference on Design Automation, 2006..

Kaushik Roy, Swarup Bhunia, Hamid Mahmoodi, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Kaushik Roy, Swarup Bhunia, Hamid Mahmoodi, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Keivan Navi, Fazel Sharifi, Hamid Mahmoodi, 2019 .

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Vazgen Melikyan, Hamid Mahmoodi, Richard Goldman, 2009, 2009 IEEE International Conference on Microelectronic Systems Education.

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2004, ICCAD 2004.

Farshad Moradi, Ali Peiravi, Hamid Mahmoodi, 2009, 2009 10th International Symposium on Quality Electronic Design.

Farshad Moradi, Hamid Mahmoodi, Tuan Vu Cao, 2009, 2009 IEEE International SOC Conference (SOCC).

Kaushik Roy, Swarup Bhunia, Hamid Mahmoodi, 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

Kaushik Roy, Swarup Bhunia, Hamid Mahmoodi, 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Swarup Bhunia, Hamid Mahmoodi, 2004, 19th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2004. DFT 2004. Proceedings..

Reza Lotfi, Hamid Mahmoodi, Khalil Mafinezhad, 2012, Microelectron. Reliab..

Houman Homayoun, Setareh Rafatirad, Hamid Mahmoodi, 2018, ACM Trans. Design Autom. Electr. Syst..

Fatemeh Tehranipoor, Nima Karimian, Hamid Mahmoodi, 2018, ACM Great Lakes Symposium on VLSI.

Kaushik Roy, Farshad Moradi, Hamid Mahmoodi, 2014, Microelectron. J..

Kaushik Roy, Hamid Mahmoodi, Mesut Meterelliyoz, 2005, IEEE International Conference on Test, 2005..

Behzad Ebrahimi, Ali Afzali-Kusha, Hamid Mahmoodi, 2012, Microelectron. Reliab..

Kaushik Roy, Swarup Bhunia, Hamid Mahmoodi, 2005, 23rd IEEE VLSI Test Symposium (VTS'05).

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2005, IEEE Journal of Solid-State Circuits.

Kaushik Roy, Amit Agarwal, Animesh Datta, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2005, 11th IEEE International On-Line Testing Symposium.

Kaushik Roy, Ashish Goel, Farshad Moradi, 2010, IEEE Custom Integrated Circuits Conference 2010.

Houman Homayoun, Hamid Mahmoodi, Hassan Salmani, 2016, 2016 29th IEEE International System-on-Chip Conference (SOCC).

Hamid Mahmoodi, Abhishek Guar, 2012, 2012 IEEE/IFIP 20th International Conference on VLSI and System-on-Chip (VLSI-SoC).

Houman Homayoun, Manish Arora, Dean M. Tullsen, 2014, IEEE Computer Architecture Letters.

Kaushik Roy, Hamid Mahmoodi, 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

Houman Homayoun, Tinoosh Mohsenin, Hamid Mahmoodi, 2014, GLSVLSI '14.

Hamid Mahmoodi, Vikram G. Rao, H. Mahmoodi, 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).

Hamid Mahmoodi, Tyler David Sheaves, Aliyar Attaran, 2018, ACM Great Lakes Symposium on VLSI.

Kaushik Roy, Swarup Bhunia, Hamid Mahmoodi, 2005, Sixth international symposium on quality electronic design (isqed'05).

Ali Afzali-Kusha, Hamid Mahmoodi, 2001, ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196).

Reza Lotfi, Hamid Mahmoodi, Khalil Mafinezhad, 2011, Microelectron. J..

Elena I. Vatajelu, Farshad Moradi, Ali Peiravi, 2013, Integr..

Kaushik Roy, Hamid Mahmoodi, K. Roy, 2004, IEEE Transactions on Circuits and Systems I: Regular Papers.

Hamid Mahmoodi, Shreyas Kumar Krishnappa, 2011, 2011 12th International Symposium on Quality Electronic Design.

Kaushik Roy, Hamid Mahmoodi, K. Roy, 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

Kaushik Roy, Hamid Mahmoodi, Matthew Cooke, 2003, ISLPED '03.

Hamid Mahmoodi, Aliakbar Ghadiri, 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

Houman Homayoun, Kris Gaj, Hamid Mahmoodi, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2005, Sixth international symposium on quality electronic design (isqed'05).

Farshad Moradi, Ali Peiravi, Hamid Mahmoodi, 2005, ACM Great Lakes Symposium on VLSI.

Mehdi Kamal, Ali Afzali-Kusha, Hamid Mahmoodi, 2016, 2016 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

Hamid Mahmoodi, 2012, 2012 IEEE/IFIP 20th International Conference on VLSI and System-on-Chip (VLSI-SoC).

Kaushik Roy, Hamid Mahmoodi, Matthew Cooke, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Houman Homayoun, Hamid Mahmoodi, Hassan Salmani, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Kaushik Roy, Saibal Mukhopadhyay, Hamid Mahmoodi, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

Hamid Mahmoodi, H. Mahmoodi, 2011, Low-Power Variation-Tolerant Design in Nanometer Silicon.

Kaushik Roy, Swarup Bhunia, Hamid Mahmoodi, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Farshad Moradi, Ali Peiravi, Hamid Mahmoodi, 2009, 2009 IEEE International Symposium on Circuits and Systems.

Avesta Sasan, Houman Homayoun, Gaurav Kolhe, 2019, ACM Great Lakes Symposium on VLSI.

Hamid Mahmoodi, Roberto Menchaca, 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

Kaushik Roy, Swarup Bhunia, Hamid Mahmoodi, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Houman Homayoun, Hamid Mahmoodi, Hassan Salmani, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Kaushik Roy, Hamid Mahmoodi, Saibal Mukhopadhyay, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Kaushik Roy, Ashish Goel, Animesh Datta, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Hamid Mahmoodi, Keejong Kim, 2008, IEEE Journal of Solid-State Circuits.

Hamid Mahmoodi, Ali A. Jalali, 2009, 2009 Sixth International Conference on Information Technology: New Generations.

Hao Jiang, Hamid Mahmoodi, Mandeep Singh Randhawa, 2012 .