T. Austin

发表

Bo Zhai, Mingoo Seok, S. Hanson, 2008, IEEE Journal of Solid-State Circuits.

D. Blaauw, V. Bertacco, T. Austin, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Bo Zhai, Sanjay Pant, D. Blaauw, 2006, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers..

Bo Zhai, Mingoo Seok, S. Hanson, 2007, 2007 IEEE Symposium on VLSI Circuits.

Sanjay Pant, T. Austin, T. Mudge, 2005, IEEE Journal of Solid-State Circuits.

T. Austin, D. Ernst, T. Austin, 2002, Proceedings 29th Annual International Symposium on Computer Architecture.

M. Minuth, L. Nazhandali, T. Austin, 2005, IEEE International. 2005 Proceedings of the IEEE Workload Characterization Symposium, 2005..

Shidhartha Das, Sanjay Pant, D. Blaauw, 2006, 2006 IEEE International Conference on IC Design and Technology.