Brucek Khailany

发表

C. T. Gray, W. Dally, Rangharajan Venkatesan, 2019, Communications of the ACM.

Tsung-Wei Huang, Haoxing Ren, Yanqing Zhang, 2022, ICPP.

S. Nath, Haoxing Ren, Brucek Khailany, 2022, Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided Design.

S. Nath, Haoxing Ren, Brucek Khailany, 2022, 2022 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

S. Nath, Haoxing Ren, Brucek Khailany, 2022, Proceedings of the 59th ACM/IEEE Design Automation Conference.

William J. Dally, Brucek Khailany, Stephen G. Tell, 2019, 2019 Symposium on VLSI Circuits.

William J. Dally, John D. Owens, Brian Towles, 2002, HWWS '02.

William J. Dally, Yanqing Zhang, Brucek Khailany, 2020, IEEE Journal of Solid-State Circuits.

Brucek Khailany, Stephen W. Keckler, Joel S. Emer, 2019, 2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Christopher Torng, Shreesha Srinath, Brucek Khailany, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

William J. Dally, Antonio Puglielli, Brucek Khailany, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

William J. Dally, Yanqing Zhang, Brucek Khailany, 2019, MICRO.

William J. Dally, John Poulton, Brucek Khailany, 2018, 2018 IEEE Symposium on VLSI Circuits.

William J. Dally, Michael Garland, David Glasco, 2011, IEEE Micro.

C. T. Gray, W. Dally, Rangharajan Venkatesan, 2021, Commun. ACM.

Brucek Khailany, Ronny Krashinsky, Vishnu Balan, 2021, 2021 IEEE International Solid- State Circuits Conference (ISSCC).

Anima Anandkumar, K. Sastry, M. Kilgard, 2022, DAC.

Brucek Khailany, Yuzhe Ma, Bei Yu, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

William J. Dally, Jung Ho Ahn, Abhishek Das, 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..

William J. Dally, Jung Ho Ahn, Abhishek Das, 2004, ACM Queue.

William J. Dally, John D. Owens, Brucek Khailany, 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

William J. Dally, John D. Owens, Brucek Khailany, 2000, Proceedings Sixth International Symposium on High-Performance Computer Architecture. HPCA-6 (Cat. No.PR00550).

William J. Dally, John D. Owens, Brucek Khailany, 1998, Proceedings. 31st Annual ACM/IEEE International Symposium on Microarchitecture.

Anima Anandkumar, Jean Kossaifi, Ben Keller, 2022, ArXiv.

Anand Raghunathan, Brucek Khailany, Jacob R. Stevens, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

William J. Dally, Yanqing Zhang, Brucek Khailany, 2020, IEEE Micro.

David Z. Pan, Brucek Khailany, Haoxing Ren, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Brucek Khailany, Haoxing Ren, Yanqing Zhang, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

C. T. Gray, W. Dally, Rangharajan Venkatesan, 2022, 2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits).

Yuan Zhou, Zhiru Zhang, Brucek Khailany, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Jiaqi Gu, David Z. Pan, Brucek Khailany, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

C. T. Gray, W. Dally, Rangharajan Venkatesan, 2023, IEEE Journal of Solid-State Circuits.

Anima Anandkumar, B. Dally, Rangharajan Venkatesan, 2021, IEEE Transactions on Computers.

William J. Dally, Brucek Khailany, Stephen W. Keckler, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

William J. Dally, Brucek Khailany, Jason Clemons, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Brucek Khailany, Michael Bauer, Henry Cook, 2011, 2011 International Conference for High Performance Computing, Networking, Storage and Analysis (SC).

William J. Dally, John D. Owens, Brian Towles, 2001, IEEE Micro.

Yiran Chen, Jiang Hu, Brucek Khailany, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

William J. Dally, Brucek Khailany, Stephen G. Tell, 2019, 2019 25th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC).

Brucek Khailany, Matthew Fojtik, Ben Keller, 2015, 2015 21st IEEE International Symposium on Asynchronous Circuits and Systems.

Yiran Chen, Yanqing Zhang, Jiang Hu, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

David Z. Pan, Brucek Khailany, Yibo Lin, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

David Z. Pan, Brucek Khailany, Yibo Lin, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

William J. Dally, John D. Owens, Brian Towles, 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

William J. Dally, Brucek Khailany, Nikola Nedovic, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Yanqing Zhang, Brucek Khailany, Haoxing Ren, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Ben Keller, Anthony Agnesina, Haoxing Ren, 2023, ISPD.

W. Dally, A. Parashar, Minsoo Rhu, 2017 .

Brucek Khailany, Brucek Khailany, 2020, 2020 ACM/IEEE 2nd Workshop on Machine Learning for CAD (MLCAD).

Brucek Khailany, Rangharajan Venkatesan, Ben Keller, 2021, 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

W. Dally, S. Rixner, B. Khailany, 2000, Proceedings 33rd Annual IEEE/ACM International Symposium on Microarchitecture. MICRO-33 2000.

Ben Keller, Anthony Agnesina, Haoxing Ren, 2023, ISPD.

Brucek Khailany, Mingjie Liu, Haoxing Ren, 2023, 2023 IEEE/ACM International Conference on Computer Aided Design (ICCAD).

Matthew R. Fojtik, Haoxing Ren, Yanqing Zhang, 2023, IEEE Design & Test.

S. Oberman, B. Dally, Jonathan Raiman, 2023, ArXiv.

Rangharajan Venkatesan, Brucek Khailany, Steve Dai, 2023, 2023 60th ACM/IEEE Design Automation Conference (DAC).

Anima Anandkumar, B. Dally, Rangharajan Venkatesan, 2021, ArXiv.