Valeria Bertacco
发表
Axel Legay,
Valeria Bertacco,
2013,
Lecture Notes in Computer Science.
Todd M. Austin,
Valeria Bertacco,
Ricardo Rodríguez Jorge,
2013,
Proceedings of the 2013 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).
Valeria Bertacco,
Andrew DeOrio,
Adam Bauserman,
2009,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Valeria Bertacco,
Doowon Lee,
Opeoluwa Matthews,
2018,
2018 IEEE 36th International Conference on Computer Design (ICCD).
Valeria Bertacco,
Ilya Wagner,
V. Bertacco,
2008,
2008 IEEE International Conference on Computer Design.
Valeria Bertacco,
2006
.
Valeria Bertacco,
Ilya Wagner,
V. Bertacco,
2008,
2008 Design, Automation and Test in Europe.
Igor L. Markov,
Valeria Bertacco,
Stephen M. Plaza,
2008,
2008 Design, Automation and Test in Europe.
Valeria Bertacco,
Doowon Lee,
Ritesh Parikh,
2014,
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Valeria Bertacco,
Stephen M. Plaza,
2005,
ASP-DAC.
Igor L. Markov,
Alan Mishchenko,
Kai-Hui Chang,
2010,
TODE.
Valeria Bertacco,
Andrew DeOrio,
Ilya Wagner,
2009,
2009 IEEE 15th International Symposium on High Performance Computer Architecture.
Reetuparna Das,
Valeria Bertacco,
Ritesh Parikh,
2014,
2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
David Blaauw,
Jin Hu,
Dennis Sylvester,
2009,
2009 46th ACM/IEEE Design Automation Conference.
Tom Kolan,
Vitali Sokhin,
Avi Ziv,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Igor L. Markov,
Valeria Bertacco,
Kai-hui Chang,
2007,
ICCAD 2007.
Valeria Bertacco,
Todd Austin,
Taeho Kgil,
2004
.
Valeria Bertacco,
Ilya Wagner,
2011
.
Valeria Bertacco,
Andrew DeOrio,
2009,
2009 46th ACM/IEEE Design Automation Conference.
Valeria Bertacco,
Rawan Abdel-Khalek,
V. Bertacco,
2014,
ACM Trans. Embed. Comput. Syst..
Graziano Pravadelli,
Valeria Bertacco,
Alessandro Danese,
2017,
2017 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
Valeria Bertacco,
Mark Glasser,
Shireesh Verma,
2008,
2008 IEEE International High Level Design Validation and Test Workshop.
Igor L. Markov,
Valeria Bertacco,
Kai-hui Chang,
2009
.
Valeria Bertacco,
Ilya Wagner,
2007,
2007 Design, Automation & Test in Europe Conference & Exhibition.
Scott A. Mahlke,
Todd M. Austin,
Bin Zhang,
2006,
The Twelfth International Symposium on High-Performance Computer Architecture, 2006..
Valeria Bertacco,
Abraham Addisie,
2020,
2020 57th ACM/IEEE Design Automation Conference (DAC).
Valeria Bertacco,
Andrea Pellegrini,
2014,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Igor L. Markov,
Kai-Hui Chang,
Valeria Bertacco,
2007,
2007 Asia and South Pacific Design Automation Conference.
Igor L. Markov,
Valeria Bertacco,
Kai-hui Chang,
2009
.
Valeria Bertacco,
Andrew McCrabb,
Eric Winsor,
2019,
2019 56th ACM/IEEE Design Automation Conference (DAC).
David Blaauw,
Shidhartha Das,
Trevor N. Mudge,
2004,
Proceedings. 41st Design Automation Conference, 2004..
Valeria Bertacco,
Debapriya Chatterjee,
V. Bertacco,
2011,
Proceedings of 2011 International Symposium on VLSI Design, Automation and Test.
Scott A. Mahlke,
Todd M. Austin,
Bin Zhang,
2007,
TACO.
Todd M. Austin,
Dan Zhang,
Valeria Bertacco,
2008,
2008 IEEE International Conference on Computer Design.
Valeria Bertacco,
Qingkun Li,
Andrew DeOrio,
2013,
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Igor L. Markov,
Valeria Bertacco,
Kai-hui Chang,
2009
.
Valeria Bertacco,
Stefano Quer,
Maurizio Damiani,
1999,
DAC '99.
Valeria Bertacco,
Andrew DeOrio,
Debapriya Chatterjee,
2009,
2009 Design, Automation & Test in Europe Conference & Exhibition.
Mona Attariyan,
Todd M. Austin,
Valeria Bertacco,
2007,
2007 Design, Automation & Test in Europe Conference & Exhibition.
Trevor N. Mudge,
Nam Sung Kim,
Todd M. Austin,
2004,
Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
Valeria Bertacco,
Andrea Pellegrini,
2011,
2011 IEEE International High Level Design Validation and Test Workshop.
David Blaauw,
Gregory K. Chen,
Dennis Sylvester,
2009,
2009 Design, Automation & Test in Europe Conference & Exhibition.
Valeria Bertacco,
Raviv Gal,
Ronny Morad,
2014,
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Igor L. Markov,
Kai-Hui Chang,
Valeria Bertacco,
2009,
Lecture Notes in Electrical Engineering.
Igor L. Markov,
Valeria Bertacco,
Kai-hui Chang,
2009
.
Onur Mutlu,
Todd M. Austin,
Valeria Bertacco,
2009,
IEEE Transactions on Computers.
Igor L. Markov,
Kai-Hui Chang,
Valeria Bertacco,
2008,
TODE.
Valeria Bertacco,
Ilya Wagner,
2011
.
Valeria Bertacco,
Andrew DeOrio,
Debapriya Chatterjee,
2009,
2009 46th ACM/IEEE Design Automation Conference.
Todd M. Austin,
Valeria Bertacco,
Ilya Wagner,
2007,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Igor L. Markov,
Valeria Bertacco,
Kai-hui Chang,
2009
.
Reetuparna Das,
Valeria Bertacco,
Vidushi Goyal,
2020,
2020 57th ACM/IEEE Design Automation Conference (DAC).
Igor L. Markov,
Kai-Hui Chang,
Valeria Bertacco,
2009,
2009 Design, Automation & Test in Europe Conference & Exhibition.
Valeria Bertacco,
Ritesh Parikh,
Animesh Jain,
2014,
2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Valeria Bertacco,
Beth Isaksen,
V. Bertacco,
2006,
ICCAD.
Jonathan Rose,
Valeria Bertacco,
Todd Austin,
2017,
2017 IEEE AFRICON.
Jialin Li,
Valeria Bertacco,
Andrew DeOrio,
2012,
2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Valeria Bertacco,
Doowon Lee,
Ritesh Parikh,
2015,
NoCArc@MICRO.
Todd M. Austin,
Valeria Bertacco,
Ofir Weisse,
2017,
2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).
Igor L. Markov,
Kai-Hui Chang,
Valeria Bertacco,
2007,
Computer.
Igor L. Markov,
Kai-Hui Chang,
Valeria Bertacco,
2008,
ISPD '08.
Kunle Olukotun,
Valeria Bertacco,
2003
.
Valeria Bertacco,
Maurizio Damiani,
1996,
Proceedings International Conference on Computer Design. VLSI in Computers and Processors.
Valeria Bertacco,
Andrew DeOrio,
2010,
Design Automation Conference.
Mona Attariyan,
Valeria Bertacco,
Todd Austin,
2007
.
Igor L. Markov,
Valeria Bertacco,
Kai-hui Chang,
2009
.
Valeria Bertacco,
Ilya Wagner,
2008
.
Valeria Bertacco,
Ilya Wagner,
2011
.
Valeria Bertacco,
Stefano Quer,
Maurizio Damiani,
1999,
DAC 1999.
Avi Ziv,
Valeria Bertacco,
Biruk Mammo,
2012,
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Valeria Bertacco,
Leul Belayneh,
2020,
2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Valeria Bertacco,
Ritesh Parikh,
2016,
IEEE Transactions on Computers.
Valeria Bertacco,
Calvin McCarter,
Debapriya Chatterjee,
2011,
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Luca Benini,
Stephen A. Edwards,
Yunheung Paek,
2009
.
Scott A. Mahlke,
Yu Cao,
Todd M. Austin,
2008,
IEEE Design & Test of Computers.
Valeria Bertacco,
Laura K. Alford,
Mary Lou Dorf,
2019
.
Valeria Bertacco,
Debapriya Chatterjee,
2019
.
Sara Vinco,
Valeria Bertacco,
Nicola Bombieri,
2012,
CODES+ISSS '12.
Todd M. Austin,
Valeria Bertacco,
Ilya Wagner,
2006,
Asia and South Pacific Conference on Design Automation, 2006..
Valeria Bertacco,
Abraham Addisie,
V. Bertacco,
2020,
IEEE Transactions on Computers.
Igor L. Markov,
Valeria Bertacco,
Kai-hui Chang,
2008,
Computer.
Igor L. Markov,
Kai-Hui Chang,
Valeria Bertacco,
2009,
IEEE Design & Test of Computers.
Valeria Bertacco,
Biruk Mammo,
Ritesh Parikh,
2015,
2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Valeria Bertacco,
Andrew DeOrio,
Debapriya Chatterjee,
2011,
TODE.
Valeria Bertacco,
2010,
Haifa Verification Conference.
Kunle Olukotun,
Valeria Bertacco,
2002,
DAC '02.
Todd M. Austin,
Valeria Bertacco,
Ilya Wagner,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Onur Mutlu,
Todd M. Austin,
Valeria Bertacco,
2007,
40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).
Igor L. Markov,
Valeria Bertacco,
Kai-hui Chang,
2009
.
Valeria Bertacco,
Andrew DeOrio,
Adam Bauserman,
2007,
2007 Eighth International Workshop on Microprocessor Test and Verification.
Li-Shiuan Peh,
Valeria Bertacco,
Andrew DeOrio,
2011,
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
Todd M. Austin,
Matthew Hicks,
Valeria Bertacco,
2017,
2017 IEEE 2nd International Verification and Security Workshop (IVSW).
Valeria Bertacco,
Opeoluwa Matthews,
Abraham Addisie,
2018,
2018 IEEE International Symposium on Workload Characterization (IISWC).
Todd M. Austin,
Valeria Bertacco,
Ilya Wagner,
2008,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Matthew Morgan,
Valeria Bertacco,
Biruk Mammo,
2012,
2012 13th International Workshop on Microprocessor Test and Verification (MTV).
Igor L. Markov,
Valeria Bertacco,
Kai-hui Chang,
2009
.
Todd M. Austin,
Valeria Bertacco,
Timothy Linscott,
2018,
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Valeria Bertacco,
Maurizio Damiani,
V. Bertacco,
1997,
1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
Igor L. Markov,
Valeria Bertacco,
Stephen M. Plaza,
2008,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Valeria Bertacco,
Abraham Addisie,
2019,
ASP-DAC.
Valeria Bertacco,
Ilya Wagner,
V. Bertacco,
2011
.
Valeria Bertacco,
Doowon Lee,
2017,
2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).
Luca Benini,
Valeria Bertacco,
Mohammad Reza Kakoee,
2011,
Proceedings of the Fifth ACM/IEEE International Symposium.
Alan J. Hu,
Avi Ziv,
Valeria Bertacco,
2010,
Design Automation Conference.
Valeria Bertacco,
Rawan Abdel-Khalek,
V. Bertacco,
2012,
2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Valeria Bertacco,
Rawan Abdel-Khalek,
2016,
2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Valeria Bertacco,
Wade Bonkowski,
2015,
2015 33rd IEEE International Conference on Computer Design (ICCD).
Valeria Bertacco,
Joseph L. Greathouse,
Andrea Pellegrini,
2012,
2012 39th Annual International Symposium on Computer Architecture (ISCA).
Valeria Bertacco,
Biruk Mammo,
Doowon Lee,
2017,
2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).
Valeria Bertacco,
Ilya Wagner,
2011
.
Todd M. Austin,
Valeria Bertacco,
Andrea Pellegrini,
2010,
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
Valeria Bertacco,
Andrew DeOrio,
Daya Shanker Khudia,
2011,
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Dennis Sylvester,
Valeria Bertacco,
D. Blaauw,
2009
.
Igor L. Markov,
Valeria Bertacco,
Stephen M. Plaza,
2008
.
Igor L. Markov,
Valeria Bertacco,
Kai-hui Chang,
2007
.
Sharad Malik,
Todd M. Austin,
Valeria Bertacco,
2019,
ASPLOS.
Valeria Bertacco,
Todd Austin,
Lauren Biernacki,
2020,
2020 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).
Valeria Bertacco,
Andrea Pellegrini,
V. Bertacco,
2010,
2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Todd M. Austin,
Valeria Bertacco,
Ilya Wagner,
2005,
Proceedings. 42nd Design Automation Conference, 2005..
Todd M. Austin,
Valeria Bertacco,
Pete Ehrett,
2019,
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Reetuparna Das,
Valeria Bertacco,
Vidushi Goyal,
2020,
2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS).
Valeria Bertacco,
J. Hao,
2009,
2009 IEEE International High Level Design Validation and Test Workshop.
Valeria Bertacco,
Ilya Wagner,
V. Bertacco,
2010
.
Valeria Bertacco,
2012,
DAC Design Automation Conference 2012.
Valeria Bertacco,
Maurizio Damiani,
1996,
Proceedings of the Sixth Great Lakes Symposium on VLSI.
Luca Benini,
Valeria Bertacco,
Mohammad Reza Kakoee,
2011,
2011 Design, Automation & Test in Europe.
Valeria Bertacco,
Rawan Abdel-Khalek,
2010,
2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip.
Igor L. Markov,
Kai-Hui Chang,
Valeria Bertacco,
2005,
ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
Valeria Bertacco,
2015,
2015 IEEE 33rd VLSI Test Symposium (VTS).
Todd M. Austin,
Seth Pettie,
Valeria Bertacco,
2008,
2008 41st IEEE/ACM International Symposium on Microarchitecture.
Igor L. Markov,
Valeria Bertacco,
Kai-hui Chang,
2005,
ICCAD 2005.
Scott A. Mahlke,
Valeria Bertacco,
Biruk Mammo,
2016,
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Avi Ziv,
Valeria Bertacco,
Ronny Morad,
2012,
DAC Design Automation Conference 2012.
Valeria Bertacco,
Javad Bagherzadeh,
V. Bertacco,
2017,
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.
Valeria Bertacco,
Ilya Wagner,
2011
.
Igor L. Markov,
Kai-Hui Chang,
Valeria Bertacco,
2005,
ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
Valeria Bertacco,
Ritesh Parikh,
2013,
2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Igor L. Markov,
Kai-Hui Chang,
Valeria Bertacco,
2007,
2007 IEEE International High Level Design Validation and Test Workshop.
Igor L. Markov,
Valeria Bertacco,
Kai-hui Chang,
2009
.
Igor L. Markov,
Valeria Bertacco,
Kai-hui Chang,
2009
.
Igor L. Markov,
Kai-Hui Chang,
Valeria Bertacco,
2007,
2007 Asia and South Pacific Design Automation Conference.
David Blaauw,
Trevor N. Mudge,
Todd M. Austin,
2005,
ASP-DAC.
Valeria Bertacco,
Ilya Wagner,
2011
.
Valeria Bertacco,
Bin Zhang,
Michael Orshansky,
2005
.
Valeria Bertacco,
Ritesh Parikh,
2014,
ACM Trans. Embed. Comput. Syst..
Valeria Bertacco,
Debapriya Chatterjee,
2009,
2009 IEEE International High Level Design Validation and Test Workshop.
Li-Shiuan Peh,
Valeria Bertacco,
Andrew DeOrio,
2011,
2011 International Conference on Parallel Architectures and Compilation Techniques.
Valeria Bertacco,
Ritesh Parikh,
2011,
2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Igor L. Markov,
Kai-Hui Chang,
Valeria Bertacco,
2007,
8th International Symposium on Quality Electronic Design (ISQED'07).
Valeria Bertacco,
Andrew DeOrio,
Ritesh Parikh,
2011,
2011 IEEE 29th International Conference on Computer Design (ICCD).
Valeria Bertacco,
Andrea Pellegrini,
2013,
2013 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS).
Valeria Bertacco,
Doowon Lee,
Ritesh Parikh,
2015,
NOCS.
Igor L. Markov,
Valeria Bertacco,
Stephen M. Plaza,
2008,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Luca Benini,
Valeria Bertacco,
Shin-ichi Minato,
1997
.
Valeria Bertacco,
2006,
SBCCI '06.
Igor L. Markov,
Kai-Hui Chang,
Valeria Bertacco,
2007,
2007 Asia and South Pacific Design Automation Conference.
Todd M. Austin,
Valeria Bertacco,
T. Austin,
2005,
2005 International Conference on Computer Design.
Valeria Bertacco,
Doowon Lee,
V. Bertacco,
2018,
Post-Silicon Validation and Debug.
Lei Chen,
Todd M. Austin,
Xin Fu,
2012,
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Igor L. Markov,
Valeria Bertacco,
Kai-hui Chang,
2009
.
Franco Fummi,
Sara Vinco,
Valeria Bertacco,
2012,
DAC Design Automation Conference 2012.
Valeria Bertacco,
2009,
2009 46th ACM/IEEE Design Automation Conference.
Valeria Bertacco,
Ilya Wagner,
V. Bertacco,
2011
.
Valeria Bertacco,
Todd Austin,
Ilya Wagner,
2007
.
Valeria Bertacco,
Debapriya Chatterjee,
2010,
2010 IEEE International Conference on Computer Design.
Luca Benini,
Valeria Bertacco,
Mohammad Reza Kakoee,
2014,
IEEE Transactions on Computers.
Valeria Bertacco,
Biruk Mammo,
Andrew DeOrio,
2015,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Todd M. Austin,
Valeria Bertacco,
Kypros Constantinides,
2006,
ASPLOS XII.
Graziano Pravadelli,
Valeria Bertacco,
Alessandro Danese,
2017,
CODES+ISSS.
Rolf Drechsler,
Bernd Becker,
Masahiro Fujita,
2009,
Algorithms and Applications for Next Generation SAT Solvers.
Hiren D. Patel,
Franco Fummi,
Sara Vinco,
2013,
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Valeria Bertacco,
V. Bertacco,
2006,
SBCCI '06.
Valeria Bertacco,
Andrew DeOrio,
Adam Bauserman,
2008,
2008 IEEE International Conference on Computer Design.
Jiang Long,
Valeria Bertacco,
Kevin Harer,
2000,
IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
Kwang-Ting Cheng,
Amirali Ghofrani,
Valeria Bertacco,
2012,
2012 IEEE 30th VLSI Test Symposium (VTS).
Igor L. Markov,
Kai-Hui Chang,
Valeria Bertacco,
2008,
Integr..
Graziano Pravadelli,
Valeria Bertacco,
Alessandro Danese,
2018,
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
David Blaauw,
Jin Hu,
Gregory K. Chen,
2012,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Valeria Bertacco,
Andrew DeOrio,
2012
.
Valeria Bertacco,
Ilya Wagner,
V. Bertacco,
2009,
2009 Design, Automation & Test in Europe Conference & Exhibition.
Valeria Bertacco,
Ilya Wagner,
2011
.
Avi Ziv,
Valeria Bertacco,
Biruk Mammo,
2013,
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Sharad Malik,
Todd M. Austin,
Valeria Bertacco,
2018,
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Valeria Bertacco,
2010,
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
Valeria Bertacco,
Abraham Addisie,
Leul Belayneh,
2019,
2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Valeria Bertacco,
Rawan Abdel-Khalek,
2014,
2014 Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS).
Todd M. Austin,
Valeria Bertacco,
Joseph L. Greathouse,
2011,
International Symposium on Code Generation and Optimization (CGO 2011).
Valeria Bertacco,
Hiwot Tadese Kassa,
Tarunesh Verma,
2021,
2021 22nd International Symposium on Quality Electronic Design (ISQED).
Reetuparna Das,
Eriko Nurvitadhi,
Valeria Bertacco,
2021,
2021 IEEE 29th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Valeria Bertacco,
Andrew McCrabb,
V. Bertacco,
2021,
IEEE Transactions on Computers.
Valeria Bertacco,
Pete Ehrett,
Todd M. Austin,
2021,
2021 IEEE 39th International Conference on Computer Design (ICCD).
Todd M. Austin,
Valeria Bertacco,
Austin Harris,
2021,
2021 IEEE Hot Chips 33 Symposium (HCS).
Reetuparna Das,
Valeria Bertacco,
Vidushi Goyal,
2021,
2021 58th ACM/IEEE Design Automation Conference (DAC).
Valeria Bertacco,
Pranav Srinivasan,
Pete Ehrett,
2021,
2021 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
Igor L. Markov,
Valeria Bertacco,
Stephen M. Plaza,
2007
.
Valeria Bertacco,
Maurizio Damiani,
V. Bertacco,
1997,
ICCAD 1997.
Jarrod A. Roy,
Igor L. Markov,
Valeria Bertacco,
2004
.
Nam Sung Kim,
Valeria Bertacco,
Todd Austin,
2002
.
Valeria Bertacco,
Ofir Weisse,
Todd Austin,
2017
.
Igor L. Markov,
Valeria Bertacco,
Kai-hui Chang,
2022
.
Igor L. Markov,
Valeria Bertacco,
Stephen M. Plaza,
.