Gabriel H. Loh

发表

Bradley C. Kuszmaul, Dana S. Henry, Gabriel H. Loh, 2001, Theory of Computing Systems.

Gabriel H. Loh, Amin Farmahini Farahani, Sudhanva Gurumurthi, 2018, MCHPC@SC.

Dana S. Henry, Gabriel H. Loh, 2002, IEA/AIE.

Gabriel H. Loh, Kiran Puttaswamy, Kiran Puttaswamy, 2006, GLSVLSI '06.

Mark D. Hill, Gabriel H. Loh, M. Hill, 2012, IEEE Micro.

Reetuparna Das, Kevin Kai-Wei Chang, Rachata Ausavarungnirun, 2016, Parallel Comput..

Hsien-Hsin S. Lee, Sung Kyu Lim, Gabriel H. Loh, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Matthew Poremba, Indrani Paul, Wei Huang, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Gabriel H. Loh, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Karin Strauss, Gabriel H. Loh, Stuart E. Schechter, 2010, ISCA.

Reetuparna Das, Kevin Kai-Wei Chang, Rachata Ausavarungnirun, 2014, 2014 IEEE 26th International Symposium on Computer Architecture and High Performance Computing.

Gabriel H. Loh, Peter G. Sassone, D. Scott Wills, 2007, TECS.

Bronis R. de Supinski, Kirk W. Cameron, Chun-Yi Su, 2015, MEMSYS.

Lei Jiang, John Paul Shen, Gabriel H. Loh, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

David Roberts, Gabriel H. Loh, Mike Ignatowski, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Kevin Kai-Wei Chang, Rachata Ausavarungnirun, Onur Mutlu, 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

Gabriel H. Loh, 2012, Proceedings of Technical Program of 2012 VLSI Technology, System and Application.

Dana S. Henry, Gabriel H. Loh, Rahul Sami, 2002, ISHPC.

Natalie D. Enright Jerger, Matthew Poremba, Gabriel H. Loh, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Ján Veselý, Mark Oskin, Gabriel H. Loh, 2017, ArXiv.

Gabriel H. Loh, Peter G. Sassone, D. Scott Wills, 2005, LCTES '05.

Gabriel H. Loh, Samantika Subramaniam, Samantika Subramaniam, 2009, TACO.

Mahmut T. Kandemir, Chita R. Das, Rachata Ausavarungnirun, 2016, 2016 International Conference on Parallel Architecture and Compilation Techniques (PACT).

Gabriel H. Loh, Yuejian Xie, 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).

Gabriel H. Loh, G. Loh, 2008, 2008 International Symposium on Computer Architecture.

Sung Kyu Lim, Gabriel H. Loh, Mongkol Ekpanyapong, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Dean M. Tullsen, Gabriel H. Loh, Mitesh R. Meswani, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Gabriel H. Loh, 2002, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings..

Yuan Xie, Gabriel H. Loh, Yuan Xie, 2013, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Samira Manabi Khan, Daniel A. Jiménez, Gabriel H. Loh, 2014, ICS '14.

Yuan Xie, Gabriel H. Loh, Wenqin Huangfu, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Gabriel H. Loh, Samantika Subramaniam, Samantika Subramaniam, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

Tao Zhang, Yuan Xie, Zhe Wang, 2016, 2016 28th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD).

Gabriel H. Loh, Kiran Puttaswamy, 2006, IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06).

Alok N. Choudhary, Abhishek Das, Gabriel H. Loh, 2010, Design Automation Conference.

Gabriel H. Loh, Peter G. Sassone, Bryan Black, 2007, ISCA '07.

Bradley C. Kuszmaul, Dana S. Henry, Gabriel H. Loh, 1999, SPAA '99.

Babak Falsafi, Gabriel H. Loh, Djordje Jevdjic, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Hyesoon Kim, Alaa R. Alameldeen, Chris Wilkerson, 2014 .

Yuan Xie, Gabriel H. Loh, Dylan Stow, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Gabriel H. Loh, Abhishek Bhattacharjee, Jan Vesely, 2015 .

Arvind Krishnamurthy, Dana S. Henry, Gabriel H. Loh, 2003, J. Instr. Level Parallelism.

Gabriel H. Loh, Samantika Subramaniam, Samantika Subramaniam, 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..

Gabriel H. Loh, Kiran Puttaswamy, Kiran Puttaswamy, 2006, GLSVLSI '06.

Gabriel H. Loh, Samantika Subramaniam, Samantika Subramaniam, 2009 .

Gabriel H. Loh, Joseph L. Greathouse, J. Greathouse, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Gabriel H. Loh, Samantika Subramaniam, Rahul V. Garde, 2008 .

Gabriel H. Loh, Yuejian Xie, Samantika Subramaniam, 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.

Gabriel H. Loh, 2005, 14th International Conference on Parallel Architectures and Compilation Techniques (PACT'05).

Gabriel H. Loh, Peter G. Sassone, D. Scott Wills, 2005, LCTES.

Matthew Poremba, Yuan Xie, Gabriel H. Loh, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Gabriel H. Loh, Kiran Puttaswamy, 2006, 2006 IEEE International Symposium on Circuits and Systems.

Gabriel H. Loh, 2005, J. Instr. Level Parallelism.

Yan Solihin, Gabriel H. Loh, Amro Awad, 2017, 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Ján Veselý, Mark Oskin, Gabriel H. Loh, 2016, 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Gabriel H. Loh, Kiran Puttaswamy, Kiran Puttaswamy, 2005, 2005 International Conference on Computer Design.

Gabriel H. Loh, Kiran Puttaswamy, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Gabriel H. Loh, Chinnakrishnan S. Ballapuram, Kiran Puttaswamy, 2006, CASES '06.

Gabriel H. Loh, 2003, J. Instr. Level Parallelism.

Chita R. Das, Yuan Xie, Gabriel H. Loh, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Milos Prvulovic, Gabriel H. Loh, Samantika Subramaniam, 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

David Roberts, Gabriel H. Loh, Mike Ignatowski, 2014, 2014 Hardware-Software Co-Design for High Performance Computing.

Gabriel H. Loh, Kiran Puttaswamy, Kiran Puttaswamy, 2009, IEEE Transactions on Computers.

Natalie D. Enright Jerger, Gabriel H. Loh, Yasuko Eckert, 2015, MEMSYS.

Indrani Paul, Gabriel H. Loh, Sudhanva Gurumurthi, 2015, IEEE Micro.

Mahmut T. Kandemir, Chita R. Das, Rachata Ausavarungnirun, 2015, 2015 International Conference on Parallel Architecture and Compilation (PACT).

Kiyoung Choi, John Kim, Gabriel H. Loh, 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Karin Strauss, Gabriel H. Loh, Timothy Sherwood, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Yannis Smaragdakis, Gabriel H. Loh, Ranjith Subramanian, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

Natalie D. Enright Jerger, Matthew Poremba, Gabriel H. Loh, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Rachata Ausavarungnirun, Onur Mutlu, Gabriel H. Loh, 2018, ArXiv.

Gabriel H. Loh, 2005, IEEE International Symposium on Performance Analysis of Systems and Software, 2005. ISPASS 2005..

Gabriel H. Loh, G. Loh, 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Gabriel H. Loh, Kiran Puttaswamy, 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

Babak Falsafi, Gabriel H. Loh, 2013, IEEE Micro.

Hsien-Hsin S. Lee, Xin Zhao, Sung Kyu Lim, 2015, IEEE Transactions on Computers.

Natalie D. Enright Jerger, Gabriel H. Loh, Zimo Li, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Mark Oskin, Gabriel H. Loh, M. Oskin, 2015, 2015 International Conference on Parallel Architecture and Compilation (PACT).

Yuan Xie, Gabriel H. Loh, Bryan Black, 2007, IEEE Micro.

Gabriel H. Loh, James Tuck, Abhinav Agrawal, 2017, SC.

Hsien-Hsin S. Lee, Xin Zhao, Sung Kyu Lim, 2010, IEEE Custom Integrated Circuits Conference 2010.

Hsien-Hsin S. Lee, Sung Kyu Lim, Gabriel H. Loh, 2009, 2009 Asia and South Pacific Design Automation Conference.

Gabriel H. Loh, Peter G. Sassone, Bryan Black, 2008, 2008 20th International Symposium on Computer Architecture and High Performance Computing.

Mark Oskin, Gabriel H. Loh, Steven K. Reinhardt, 2017 .

Gabriel H. Loh, Moinuddin K. Qureshi, G. Loh, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

Yan Solihin, Mark Oskin, Gabriel H. Loh, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Gabriel H. Loh, Yuejian Xie, Yuejian Xie, 2009, ISCA '09.

Ramyad Hadidi, Gabriel H. Loh, Hyojong Kim, 2017, ACM Trans. Archit. Code Optim..

Natalie D. Enright Jerger, Gabriel H. Loh, Ajaykumar Kannan, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Hsien-Hsin S. Lee, Xin Zhao, Sung Kyu Lim, 2012, 2012 IEEE International Solid-State Circuits Conference.

Yuan Xie, Jishen Zhao, Gabriel H. Loh, 2012, ISLPED '12.

Hsien-Hsin S. Lee, Sung Kyu Lim, Gabriel H. Loh, 2004 .

Gabriel H. Loh, Hyeran Jeon, Murali Annavaram, 2014, 2014 International Test Conference.

Gabriel H. Loh, Vilas Sridharan, Mike O'Connor, 2014, IEEE Micro.

Gabriel H. Loh, Hong Wang, Anne Bracy, 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

Bradley C. Kuszmaul, Dana S. Henry, Gabriel H. Loh, 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

Gabriel H. Loh, Vilas Sridharan, Mike O'Connor, 2013, ISCA.

Mahmut T. Kandemir, Chita R. Das, Nachiappan Chidambaram Nachiappan, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Daniel A. Jiménez, Gabriel H. Loh, 2007, International Journal of Parallel Programming.

Gabriel H. Loh, Adwait Jog, Yasuko Eckert, 2020, PACT.

Dana S. Henry, Gabriel H. Loh, 2002, Proceedings.International Conference on Parallel Architectures and Compilation Techniques.

Kiyoung Choi, John Kim, Gabriel H. Loh, 2012, IEEE J. Emerg. Sel. Topics Circuits Syst..

Mahmut T. Kandemir, Chita R. Das, Rachata Ausavarungnirun, 2018, ArXiv.

Daniel A. Jiménez, Gabriel H. Loh, 2006, 2006 18th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD'06).

Hsien-Hsin S. Lee, Gabriel H. Loh, Chad Huneycutt, 2005 .

Gabriel H. Loh, Daniel H. Friendly, Rahul Sami, 2002 .

Mark D. Hill, Gabriel H. Loh, M. Hill, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Ján Veselý, Mark Oskin, Gabriel H. Loh, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Gabriel H. Loh, 2006, 2006 IEEE International Symposium on Performance Analysis of Systems and Software.

Ján Veselý, Gabriel H. Loh, Abhishek Bhattacharjee, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Gabriel H. Loh, Abhishek Bhattacharjee, Yasuko Eckert, 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

Gabriel H. Loh, Hyesoon Kim, Mithuna Thottethodi, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

Rajeev Balasubramonian, Gabriel H. Loh, Niladrish Chatterjee, 2014, SC14: International Conference for High Performance Computing, Networking, Storage and Analysis.

Yuan Xie, Gabriel H. Loh, Bryan Black, 2005 .

Natalie D. Enright Jerger, Mark Oskin, Gabriel H. Loh, 2020, 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Gabriel H. Loh, Adwait Jog, Yasuko Eckert, 2021, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Gabriel H. Loh, Samuel Naffziger, Kevin Lepak, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Gabriel H. Loh, Samuel Naffziger, Mark Papermaster, 2021, 2021 Symposium on VLSI Circuits.

Mahmut T. Kandemir, Gabriel H. Loh, Michael LeBeane, 2021, MICRO.

Gabriel H. Loh, Yasuko Eckert, N. Jayasena, 2014 .

Gabriel H. Loh, Yuejian Xie, G. Loh, 2008 .

Bradley C. Kuszmaul, Dana S. Henry, Gabriel H. Loh, 2000 .

Bradley C. Kuszmaul, Dana S. Henry, Gabriel H. Loh, 2002 .

Bradley C. Kuszmaul, Dana S. Henry, Gabriel H. Loh, 2007 .

Sung Kyu Lim, Mohit Pathak, Gabriel H. Loh, 2010 .

Gabriel H. Loh, Steven K. Reinhardt, Nuwan Jayasena, 2012 .

Gabriel H. Loh, K. Cameron, Chun-Yi Su, 2017 .

Gabriel H. Loh, Yuan Xie, K. Bernstein, 2006, JETC.

Mark Oskin, Gabriel H. Loh, Anthony Gutierrez, 2021, ArXiv.

Gabriel H. Loh, Kevin M. Lepak, T. Burd, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Gabriel H. Loh, Dong Hyuk Woo, D. L. Lewis, 2015, IEEE transactions on computers.

Ahmed Louri, Derek Chiou, Hyesoon Kim, 2018, 2010 International Conference on e-Business (ICE-B).

Gabriel H. Loh, Bradford M. Beckmann, Michael L. Chu, 2023, ISCA.