Sanu K. Mathew

发表

Ram Krishnamurthy, Shekhar Borkar, Sanu K. Mathew, 2002, VLSIC 2002.

Himanshu Kaul, Amit Agarwal, Gregory K. Chen, 2020, IEEE Solid-State Circuits Letters.

Ram Krishnamurthy, Sanu K. Mathew, Mark A. Anders, 2006 .

Vivek De, Saibal Mukhopadhyay, Monodeep Kar, 2020, IEEE Journal of Solid-State Circuits.

Mircea R. Stan, Sriram R. Vangal, Vasantha Erraguntla, 2001 .

Monodeep Kar, Saibal Mukhopadhyay, Arvind Singh, 2018, IEEE Journal of Solid-State Circuits.

Vikram Suresh, Himanshu Kaul, Amit Agarwal, 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

Ram Krishnamurthy, Krishnamurthy Soumyanath, Kaizad Mistry, 2001 .

Sudhir Satpathy, Vikram Suresh, Himanshu Kaul, 2017, IEEE Journal of Solid-State Circuits.

Vikram Suresh, Himanshu Kaul, Amit Agarwal, 2016, IEEE Journal of Solid-State Circuits.

Sanu K. Mathew, 2003, IEEE International [Systems-on-Chip] SOC Conference, 2003. Proceedings..

Monodeep Kar, Saibal Mukhopadhyay, Arvind Singh, 2019, IEEE Journal of Solid-State Circuits.

Himanshu Kaul, Amit Agarwal, Shekhar Borkar, 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

Krishnamurthy Soumyanath, Ram Krishnamurthy, Shekhar Borkar, 2002 .

Sriram R. Vangal, Vasantha Erraguntla, Nitin Borkar, 2003 .

Himanshu Kaul, Amit Agarwal, Gregory K. Chen, 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

Himanshu Kaul, Amit Agarwal, Ram Krishnamurthy, 2012, IEEE Journal of Solid-State Circuits.

Atila Alvandpour, Sanu K. Mathew, 2002, 15th Annual IEEE International ASIC/SOC Conference.

Sudhir Satpathy, Vikram Suresh, Himanshu Kaul, 2021, IEEE Journal of Solid-State Circuits.

Ram Krishnamurthy, Farhana Sheikh, Sanu K. Mathew, 2010 .

K. Soumyanath, Sanu K. Mathew, Mark A. Anders, 2001 .