Beiye Liu

发表

Yiran Chen, Chenchen Liu, Hai Li, 2015, 2015 15th Non-Volatile Memory Technology Symposium (NVMTS).

Konstantine Arkoudas, Chengwei Su, Wael Hamza, 2020, AAAI.

Wei Zhang, Yiran Chen, Tingwen Huang, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Chun Jason Xue, Hai Li, 2013, 2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Jeyavijayan Rajendran, Mark Barnell, Wujie Wen, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Hao Jiang, Yu Wang, Yiran Chen, 2016, IEEE Transactions on Circuits and Systems I: Regular Papers.

Yiran Chen, Chang Song, Hai Li, 2017, 2017 IEEE 6th Non-Volatile Memory Systems and Applications Symposium (NVMSA).

Hao Jiang, Yu Wang, Yiran Chen, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Chang Song, Hai Li, 2016, 2016 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).

Yiran Chen, Chun Jason Xue, Mengying Zhao, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Qing Wu, Qinru Qiu, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Yiran Chen, Xin Li, Tsung-Yi Ho, 2015, ACM Great Lakes Symposium on VLSI.

Yiran Chen, Jeyavijayan Rajendran, Qing Wu, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Tingwen Huang, Beiye Liu, 2013, Neural Processing Letters.

Chenchen Liu, Yiran Chen, Hai Li, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Hao Jiang, Yiran Chen, Chenchen Liu, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Tingwen Huang, Xin Li, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Qing Wu, Mark Barnell, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Yiran Chen, Hai Li, Robinson E. Pino, 2012, DAC Design Automation Conference 2012.

Yiran Chen, Qing Wu, Qinru Qiu, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Xian Zhang, Guangyu Sun, 2016, 2016 International Great Lakes Symposium on VLSI (GLSVLSI).

Yiran Chen, Tingwen Huang, Xin Li, 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Xin Li, Tsung-Yi Ho, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Hai Li, Donald M. Chiarulli, Wei Wen, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Qing Wu, Qinru Qiu, 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).