Carole-Jean Wu
发表
Carole-Jean Wu,
Soochan Lee,
Patrick E. Phelan,
2015
.
Carole-Jean Wu,
Gu-Yeon Wei,
David A. Patterson,
2020,
IEEE Micro.
Carole-Jean Wu,
David W. Nellans,
Akhil Arunkumar,
2019,
2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).
David Patterson,
Carole-Jean Wu,
Gu-Yeon Wei,
2019,
MLSys.
Carole-Jean Wu,
Sarma B. K. Vrudhula,
Akhil Arunkumar,
2018,
2018 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
Carole-Jean Wu,
Gu-Yeon Wei,
Hsien-Hsin S. Lee,
2020,
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).
Carole-Jean Wu,
Aamer Jaleel,
David W. Nellans,
2017,
2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).
Carole-Jean Wu,
Stephanie Forrest,
Jhe-Yu Liou,
2019,
2019 IEEE/ACM International Workshop on Genetic Improvement (GI).
Carole-Jean Wu,
Shin-Ying Lee,
Carole-Jean Wu,
2017,
2017 IEEE International Symposium on Workload Characterization (IISWC).
Carole-Jean Wu,
Gu-Yeon Wei,
Young Geun Kim,
2020,
2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).
Aviral Shrivastava,
Carole-Jean Wu,
Reiley Jeyapaul,
2014,
2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
Carole-Jean Wu,
Akhil Arunkumar,
Davesh Shingari,
2015,
2015 IEEE International Symposium on Workload Characterization.
Carole-Jean Wu,
Ying-Ju Yu,
2017,
2017 IEEE International Symposium on Workload Characterization (IISWC).
Carole-Jean Wu,
Özgür Özkan,
Zhuoran Zhao,
2020,
2021 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
Carole-Jean Wu,
Margaret Martonosi,
Ravi Iyer,
2011
.
Carole-Jean Wu,
Sarma B. K. Vrudhula,
Vinay Hanumaiah,
2014,
TECS.
Carole-Jean Wu,
Peng Meng,
Gennady Pekhimenko,
2020,
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).
Carole-Jean Wu,
Ying-Ju Yu,
Carole-Jean Wu,
2018,
2018 17th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm).
Carole-Jean Wu,
Sarma Vrudhula,
Benjamin Gaudette,
2019,
IEEE Transactions on Mobile Computing.
Carole-Jean Wu,
2012
.
Carole-Jean Wu,
Michael Niemier,
Tayfun Gokmen,
2020,
2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Carole-Jean Wu,
Chaitali Chakrabarti,
Trevor N. Mudge,
2015,
MEMSYS.
Carole-Jean Wu,
Jae-sun Seo,
Soochan Lee,
2016,
2016 15th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm).
Carole-Jean Wu,
Shin-Ying Lee,
Carole-Jean Wu,
2016,
2016 IEEE 34th International Conference on Computer Design (ICCD).
Carole-Jean Wu,
Kevin Chen,
David Brooks,
2019,
2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).
Using Low Cost Erasure and Error Correction Schemes to Improve Reliability of Commodity DRAM Systems
David Blaauw,
Carole-Jean Wu,
Chaitali Chakrabarti,
2016,
IEEE Transactions on Computers.
Carole-Jean Wu,
2014,
IEEE Computer Architecture Letters.
Carole-Jean Wu,
Shin-Ying Lee,
Carole-Jean Wu,
2014,
2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
Carole-Jean Wu,
Margaret Martonosi,
Aamer Jaleel,
2011,
2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Xiaodong Wang,
Carole-Jean Wu,
Stephanie Forrest,
2020,
GECCO Companion.
Carole-Jean Wu,
Young Geun Kim,
2020,
ArXiv.
Carole-Jean Wu,
Robin Burke,
Hao Zhang,
2020,
ArXiv.
Carole-Jean Wu,
Akhil Arunkumar,
Shin-Ying Lee,
2016,
2016 IEEE International Symposium on Workload Characterization (IISWC).
Carole-Jean Wu,
Gu-Yeon Wei,
David Brooks,
2020,
2020 IEEE International Symposium on Workload Characterization (IISWC).
Carole-Jean Wu,
Shin-Ying Lee,
Carole-Jean Wu,
2014,
2014 23rd International Conference on Parallel Architecture and Compilation (PACT).
Carole-Jean Wu,
Kim M. Hazelwood,
Xiaodong Wang,
2020,
2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).
Carole-Jean Wu,
Chaitali Chakrabarti,
Trevor Mudge,
2019,
IEEE Transactions on Computers.
Carole-Jean Wu,
Stephanie Forrest,
Xiaodong Wang,
2020,
ACM Trans. Archit. Code Optim..
Carole-Jean Wu,
Robert LiKamWa,
Venkatesh Kodukula,
2019,
2001.01580.
Carole-Jean Wu,
Shin-Ying Lee,
Dhinakaran Pandiyan,
2013,
2013 IEEE International Symposium on Workload Characterization (IISWC).
CPR: Understanding and Improving Failure Tolerant Training for Deep Learning Recommendation with Partial Recovery
pdf
Carole-Jean Wu,
Brandon Lucia,
Bor-Yiing Su,
2020,
ArXiv.
Carole-Jean Wu,
Sarma B. K. Vrudhula,
Benjamin Gaudette,
2016,
2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).
Carole-Jean Wu,
Akhil Arunkumar,
2014,
2014 IEEE 32nd International Conference on Computer Design (ICCD).
Carole-Jean Wu,
Dhinakaran Pandiyan,
Carole-Jean Wu,
2014,
2014 IEEE International Symposium on Workload Characterization (IISWC).
AutoScale: Energy Efficiency Optimization for Stochastic Edge Inference Using Reinforcement Learning
Carole-Jean Wu,
Young Geun Kim,
Carole-Jean Wu,
2020,
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Carole-Jean Wu,
David Brooks,
Liang Xiong,
2019,
2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).
Martin D. Schatz,
Carole-Jean Wu,
Hsien-Hsin S. Lee,
2019,
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).
Carole-Jean Wu,
Ronald G. Dreslinski,
Trevor N. Mudge,
2015,
2015 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
Carole-Jean Wu,
Margaret Martonosi,
Aamer Jaleel,
2011,
2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Carole-Jean Wu,
Chaitali Chakrabarti,
Trevor N. Mudge,
2016,
ACM Trans. Archit. Code Optim..
Carole-Jean Wu,
Akhil Arunkumar,
Shin-Ying Lee,
2018,
2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).
Carole-Jean Wu,
Soochan Lee,
Patrick E. Phelan,
2014
.
Carole-Jean Wu,
Kim Hazelwood,
David Brooks,
2019,
ACM Trans. Archit. Code Optim..
Carole-Jean Wu,
Margaret Martonosi,
M. Martonosi,
2011,
(IEEE ISPASS) IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE.
Carole-Jean Wu,
Akhil Arunkumar,
Shin-Ying Lee,
2015,
2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).
Yinghai Lu,
Carole-Jean Wu,
Xiaodong Wang,
2019,
ArXiv.
Carole-Jean Wu,
Noveen Sachdeva,
Julian McAuley,
2021,
ArXiv.
Carole-Jean Wu,
Gu-Yeon Wei,
David Brooks,
2021,
ASPLOS.
Carole-Jean Wu,
Sarma B. K. Vrudhula,
Soroush Heidari,
2021,
2021 IEEE International Conference on Smart Computing (SMARTCOMP).
Carole-Jean Wu,
Robert LiKamWa,
Venkatesh Kodukula,
2021,
Sensors.
Carole-Jean Wu,
Bugra Gedik,
Christos Kozyrakis,
2021,
ArXiv.
Carole-Jean Wu,
Young Geun Kim,
Young Geun Kim,
2021,
MICRO.
Carole-Jean Wu,
Shane Greenstein,
Parthasarathy Ranganathan,
2021,
ArXiv.
Carole-Jean Wu,
Chunxing Yin,
Bilge Acun,
2021,
MLSys.
Carole-Jean Wu,
Kshitiz Malik,
Ashkan Yousefpour,
2021,
ArXiv.
Jianyu Huang,
Carole-Jean Wu,
Mikhail Smelyanskiy,
2021,
IEEE Micro.
RecPipe: Co-designing Models and Hardware to Jointly Optimize Recommendation Quality and Performance
Carole-Jean Wu,
Gu-Yeon Wei,
David Brooks,
2021,
MICRO.
Carole-Jean Wu,
Gennady Pekhimenko,
Thomas B. Jablin,
2021,
IEEE Micro.
Carole-Jean Wu,
Gu-Yeon Wei,
David Brooks,
2021,
International Conference on Architectural Support for Programming Languages and Operating Systems.
Carole-Jean Wu,
Michael Gschwind,
Kim Hazelwood,
2021,
MLSys.
Carole-Jean Wu,
Aamer Jaleel,
Oreste Villa,
2017
.