Margaret Martonosi
发表
Yong Wang,
Margaret Martonosi,
Li-Shiuan Peh,
2006,
CHANTS '06.
Margaret Martonosi,
Wenhao Jia,
Kelly A. Shaw,
2014,
2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).
Margaret Martonosi,
Kevin Skadron,
Douglas W. Clark,
1999
.
Margaret Martonosi,
David I. August,
David H. Albonesi,
2009,
MICRO 2009.
Margaret Martonosi,
Stefanos Kaxiras,
Zhigang Hu,
2003
.
Margaret Martonosi,
David M. Brooks,
D. Brooks,
1999,
Proceedings Fifth International Symposium on High-Performance Computer Architecture.
Margaret Martonosi,
Abhishek Bhattacharjee,
Gilberto Contreras,
2008,
Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).
Margaret Martonosi,
Mary Hall,
1998
.
Margaret Martonosi,
Kevin Skadron,
Stefanos Kaxiras,
2002,
ISLPED '02.
Margaret Martonosi,
Daniel Lustig,
Caroline Trippel,
2016,
ASPLOS.
Margaret Martonosi,
Daniel Lustig,
Michael Pellauer,
2015,
IEEE Micro.
Sharad Malik,
Margaret Martonosi,
Yavuz Yetim,
2015,
ASPLOS.
Margaret Martonosi,
Qiang Wu,
Douglas W. Clark,
2005,
11th International Symposium on High-Performance Computer Architecture.
Margaret Martonosi,
Frederic T. Chong,
Shruti Patil,
2015,
Parallel Comput..
Margaret Martonosi,
Frederic T. Chong,
Andrew W. Cross,
2020,
Proceedings of the IEEE.
Margaret Martonosi,
Hongli Zhang,
M. Martonosi,
2001,
PPSC.
Erik Hagersten,
Margaret Martonosi,
Per Stenström,
1997,
Computer.
Sharad Malik,
Margaret Martonosi,
Pranav Ashar,
1998,
FPL.
Margaret Martonosi,
Zhen Luo,
Pranav Ashar,
1999,
Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines (Cat. No.PR00375).
Margaret Martonosi,
Chau-Wen Tseng,
Mary W. Hall,
1995,
PACT.
Margaret Martonosi,
James Donald,
2006,
ISCA 2006.
Margaret Martonosi,
Ali Javadi-Abhari,
Prakash Murali,
2019,
2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).
Margaret Martonosi,
2002
.
Margaret Martonosi,
Adam Wolisz,
Tarek Abdelzaher,
2008
.
Margaret Martonosi,
Juan L. Aragón,
Tae Jun Ham,
2015,
2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Margaret Martonosi,
Zhen Luo,
M. Martonosi,
2000,
IEEE Trans. Computers.
Margaret Martonosi,
Abhishek Bhattacharjee,
Gilberto Contreras,
2011,
TACO.
Margaret Martonosi,
Ozlem Bilgir,
M. Martonosi,
2012,
CHANTS '12.
Margaret Martonosi,
Kevin Skadron,
Douglas W. Clark,
2000,
Proceedings 2000 International Conference on Parallel Architectures and Compilation Techniques (Cat. No.PR00622).
Margaret Martonosi,
Ramón Cáceres,
Richard A. Becker,
2022
.
Margaret Martonosi,
Qiang Wu,
Li-Shiuan Peh,
2005,
IEEE Micro.
Margaret Martonosi,
Wenhao Jia,
Kelly A. Shaw,
2012,
2012 IEEE International Symposium on Performance Analysis of Systems & Software.
Margaret Martonosi,
Frederic T. Chong,
Diana Franklin,
2019,
Microprocess. Microsystems.
Margaret Martonosi,
Michael D. Smith,
Mark Horowitz,
1995
.
Liviu Iftode,
Margaret Martonosi,
Kai Li,
1998,
ISCA.
Margaret Martonosi,
Qiang Wu,
Douglas W. Clark,
2004,
ASPLOS XI.
Yong Wang,
Margaret Martonosi,
Li-Shiuan Peh,
2006,
14th IEEE International Symposium on Modeling, Analysis, and Simulation.
Margaret Martonosi,
Daniel Lustig,
Yatin A. Manerkar,
2015,
2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Margaret Martonosi,
Kelly A. Shaw,
Themis Melissaris,
2019,
2019 Fourth International Conference on Fog and Mobile Edge Computing (FMEC).
Margaret Martonosi,
Christopher M. Sadler,
M. Martonosi,
2007,
MobiSys '07.
Margaret Martonosi,
2016,
GETMBL.
Margaret Martonosi,
John Paul Shen,
2006,
ASPLOS.
Margaret Martonosi,
Koji Inoue,
Wenhao Jia,
2015,
2015 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
Margaret Martonosi,
Ali JavadiAbhari,
Prakash Murali,
2020,
IEEE Micro.
Margaret Martonosi,
Pei Zhang,
Li-Shiuan Peh,
2008,
Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences.
RealityCheck: Bringing Modularity, Hierarchy, and Abstraction to Automated Microarchitectural Memory Consistency Verification
pdf
Margaret Martonosi,
Daniel Lustig,
Yatin A. Manerkar,
2020,
ArXiv.
Margaret Martonosi,
Thu D. Nguyen,
Ricardo Bianchini,
2010,
SIGMETRICS '10.
Margaret Martonosi,
Daniel Lustig,
Abhishek Bhattacharjee,
2017,
IEEE Micro.
Margaret Martonosi,
M. Martonosi,
1994
.
Margaret Martonosi,
Kelly A. Shaw,
2009
.
Margaret Martonosi,
Kelly A. Shaw,
Themis Melissaris,
2017,
2017 IEEE 18th International Symposium on A World of Wireless, Mobile and Multimedia Networks (WoWMoM).
Stratis Ioannidis,
Margaret Martonosi,
Augustin Chaintreau,
2011,
RecSys '11.
Margaret Martonosi,
2016,
MICRO.
Margaret Martonosi,
Caroline Trippel,
Naorin Hossain,
2020,
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).
Margaret Martonosi,
Daniel Lustig,
Caroline Trippel,
2018,
2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Margaret Martonosi,
Pei Zhang,
Christopher M. Sadler,
2004,
SenSys '04.
Margaret Martonosi,
Gilberto Contreras,
Canturk Isci,
2006,
2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
Margaret Martonosi,
David M. Brooks,
D. Brooks,
2001,
Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.
Margaret Martonosi,
Douglas W. Clark,
Scott Karlin,
1999
.
Margaret Martonosi,
Christopher M. Sadler,
M. Martonosi,
2006,
SenSys '06.
Margaret Martonosi,
Daniel Lustig,
Abhishek Bhattacharjee,
2011,
2011 IEEE 17th International Symposium on High Performance Computer Architecture.
Margaret Martonosi,
Tyler Sorensen,
Esin Tureci,
2020,
Proc. ACM Program. Lang..
Margaret Martonosi,
Zhen Luo,
M. Martonosi,
1998,
Other Conferences.
Sharad Malik,
Margaret Martonosi,
Yavuz Yetim,
2013,
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Margaret Martonosi,
Abhishek Bhattacharjee,
M. Martonosi,
2009,
ISCA '09.
Margaret Martonosi,
Ramón Cáceres,
Rebecca N. Wright,
2013,
2013 IEEE International Conference on Big Data.
Margaret Martonosi,
Sibren Isaacman,
2012
.
Margaret Martonosi,
Frederic T. Chong,
Diana Franklin,
2017,
2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Yong Wang,
Margaret Martonosi,
Li-Shiuan Peh,
2007,
MOCO.
Margaret Martonosi,
Daniel Lustig,
Aarti Gupta,
2018,
2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Margaret Martonosi,
Kevin Skadron,
Douglas W. Clark,
1998,
ICS '98.
Margaret Martonosi,
Ting Liu,
M. Martonosi,
2003,
PPoPP '03.
Margaret Martonosi,
Canturk Isci,
M. Martonosi,
2003,
2003 IEEE International Conference on Communications (Cat. No.03CH37441).
Margaret Martonosi,
Frederic T. Chong,
Diana Franklin,
2017,
Nature.
Margaret Martonosi,
Mary W. Hall,
1998,
Concurr. Pract. Exp..
Margaret Martonosi,
James Donald,
M. Martonosi,
2006,
33rd International Symposium on Computer Architecture (ISCA'06).
Margaret Martonosi,
Michael D. Smith,
Mark Horowitz,
1998,
TOCS.
Sharad Malik,
Margaret Martonosi,
Pranav Ashar,
1998,
Proceedings. IEEE Symposium on FPGAs for Custom Computing Machines (Cat. No.98TB100251).
Margaret Martonosi,
David I. August,
David H. Albonesi,
2009,
MICRO.
Margaret Martonosi,
David M. Brooks,
D. Brooks,
2000,
TOCS.
Margaret Martonosi,
Kevin Skadron,
Stefanos Kaxiras,
2002,
IEEE Computer Architecture Letters.
Margaret Martonosi,
2014,
IEEE Micro.
Margaret Martonosi,
Juan L. Aragón,
Tae Jun Ham,
2017,
ACM Trans. Archit. Code Optim..
Sharad Malik,
Margaret Martonosi,
Pranav Ashar,
1999,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Margaret Martonosi,
Julia Hirschberg,
Erik Russell,
2018,
SGCS.
Carole-Jean Wu,
Margaret Martonosi,
Ravi Iyer,
2011
.
Sharad Malik,
Margaret Martonosi,
Somnath Ghosh,
1997,
ICS '97.
Margaret Martonosi,
Sibren Isaacman,
M. Martonosi,
2011,
WWW.
Margaret Martonosi,
Anoop Gupta,
Thomas E. Anderson,
1995,
Computer.
Sharad Malik,
Margaret Martonosi,
Somnath Ghosh,
2000,
ICS '00.
Margaret Martonosi,
Abhishek Bhattacharjee,
2010,
ASPLOS 2010.
Margaret Martonosi,
Zhigang Hu,
Russ Joseph,
2004,
10th International Symposium on High Performance Computer Architecture (HPCA'04).
Margaret Martonosi,
Qiang Wu,
David M. Brooks,
2005,
38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05).
Margaret Martonosi,
Christos Kozyrakis,
Manolis Katevenis,
2011,
HIPEAC 2011.
Margaret Martonosi,
Pradip Bose,
Chen-Yong Cher,
2006,
2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
Sharad Malik,
Margaret Martonosi,
Somnath Ghosh,
1998,
ASPLOS VIII.
Margaret Martonosi,
Pei Zhang,
2006,
SenSys '06.
Yong Wang,
Margaret Martonosi,
Li-Shiuan Peh,
2006,
REALMAN '06.
Margaret Martonosi,
Anoop Gupta,
M. Martonosi,
1989,
ICPP.
Margaret Martonosi,
Frederic T. Chong,
Prakash Murali,
2019,
Microprocess. Microsystems.
Margaret Martonosi,
Daniel Lustig,
Abhishek Bhattacharjee,
2013,
TACO.
Luca P. Carloni,
Margaret Martonosi,
Juan L. Aragón,
2020,
2020 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
Margaret Martonosi,
Roy Dz-Ching Ju,
Gilberto Contreras,
2004,
LCTES '04.
Margaret Martonosi,
Yipeng Huang,
M. Martonosi,
2019,
2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).
Margaret Martonosi,
2018,
SIGMETRICS.
Margaret Martonosi,
Daniel Lustig,
Caroline Trippel,
2019,
IEEE Micro.
Margaret Martonosi,
Peixin Zhong,
M. Martonosi,
1996,
Other Conferences.
Margaret Martonosi,
Canturk Isci,
M. Martonosi,
2003,
Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
Margaret Martonosi,
Eric Chi,
2009
.
Margaret Martonosi,
James Donald,
M. Martonosi,
2006,
IEEE Computer Architecture Letters.
Margaret Martonosi,
Stefanos Kaxiras,
Magnus Själander,
2014,
Power-Efficient Computer Architectures: Recent Advances.
Margaret Martonosi,
Michael D. Smith,
Mark Horowitz,
1996,
ISCA.
Margaret Martonosi,
2018,
Commun. ACM.
Margaret Martonosi,
David Brooks,
2001
.
Sharad Malik,
Margaret Martonosi,
Somnath Ghosh,
1999,
TOPL.
Margaret Martonosi,
Li-Shiuan Peh,
Emmanouil Koukoumidis,
2012,
IEEE Transactions on Mobile Computing.
Margaret Martonosi,
Thu D. Nguyen,
Ricardo Bianchini,
2012,
2012 Sustainable Internet and ICT for Sustainability (SustainIT).
Margaret Martonosi,
Thu D. Nguyen,
Ricardo Bianchini,
2010,
International Conference on Green Computing.
Margaret Martonosi,
Cheng Liao,
Douglas W. Clark,
1999,
SPAA '99.
Sharad Malik,
Margaret Martonosi,
Yau-Tsun Steven Li,
1997,
DAC.
Francine Berman,
Rob A. Rutenbar,
Deborah Estrin,
2018,
Commun. ACM.
Margaret Martonosi,
Kevin Skadron,
Douglas W. Clark,
1998,
Proceedings. 31st Annual ACM/IEEE International Symposium on Microarchitecture.
Margaret Martonosi,
Anoop Gupta,
Thomas E. Anderson,
1993,
SIGMETRICS '93.
Margaret Martonosi,
Stefanos Kaxiras,
Zhigang Hu,
2002,
TOCS.
Sharad Malik,
Margaret Martonosi,
Fen Xie,
2005,
2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).
Margaret Martonosi,
James Donald,
2004
.
Margaret Martonosi,
Alper Buyuktosunoglu,
Canturk Isci,
2005,
IEEE Micro.
Carole-Jean Wu,
Margaret Martonosi,
Aamer Jaleel,
2011,
2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Sharad Malik,
Margaret Martonosi,
Yavuz Yetim,
2017,
ACM J. Emerg. Technol. Comput. Syst..
Margaret Martonosi,
2007
.
Margaret Martonosi,
Abhishek Bhattacharjee,
M. Martonosi,
2010,
ASPLOS XV.
Margaret Martonosi,
Abhishek Bhattacharjee,
2010,
ASPLOS 2010.
Margaret Martonosi,
Daniel Lustig,
Caroline Trippel,
2018,
IEEE Micro.
Margaret Martonosi,
Qiang Wu,
David M. Brooks,
2006,
IEEE Micro.
Margaret Martonosi,
Frederic T. Chong,
Martin Suchara,
2019,
1907.13623.
Stratis Ioannidis,
Margaret Martonosi,
Augustin Chaintreau,
2011,
2011 49th Annual Allerton Conference on Communication, Control, and Computing (Allerton).
Margaret Martonosi,
Pei Zhang,
Ting Liu,
2004,
MobiSys '04.
Margaret Martonosi,
David M. Brooks,
Russ Joseph,
2003,
The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
Margaret Martonosi,
Mark Heinrich,
David Ofelt,
1996,
SIGMETRICS '96.
Margaret Martonosi,
2017,
IEEE Micro.
Margaret Martonosi,
Wenhao Jia,
Kelly A. Shaw,
2013,
PACT 2013.
Margaret Martonosi,
Cheng Liao,
Douglas W. Clark,
1998,
SPDT '98.
Margaret Martonosi,
2006
.
Margaret Martonosi,
Gilberto Contreras,
Gilberto Contreras,
2008,
2008 IEEE International Symposium on Workload Characterization.
Margaret Martonosi,
Kenneth R. Brown,
Prakash Murali,
2020,
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).
Margaret Martonosi,
Pei Zhang,
Christopher M. Sadler,
2006,
MidSens '06.
Margaret Martonosi,
Yong Wang,
Sushant Jain,
2005,
WDTN '05.
Margaret Martonosi,
Anoop Gupta,
Thomas E. Anderson,
1992,
SIGMETRICS '92/PERFORMANCE '92.
Margaret Martonosi,
Abhishek Bhattacharjee,
M. Martonosi,
2009,
2009 18th International Conference on Parallel Architectures and Compilation Techniques.
Margaret Martonosi,
Zhigang Hu,
Russ Joseph,
2004,
IEEE International Symposium on - ISPASS Performance Analysis of Systems and Software, 2004.
Margaret Martonosi,
Pei Zhang,
M. Martonosi,
2008,
2008 International Conference on Information Processing in Sensor Networks (ipsn 2008).
Margaret Martonosi,
Daniel Lustig,
M. Martonosi,
2013,
2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).
Margaret Martonosi,
Thu D. Nguyen,
Ricardo Bianchini,
2011
.
Margaret Martonosi,
Zhigang Hu,
2000
.
Margaret Martonosi,
Li-Shiuan Peh,
Ulrich Kremer,
2010,
2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).
Margaret Martonosi,
Cheng Liao,
Douglas W. Clark,
1999,
SIGMETRICS '99.
Margaret Martonosi,
Daniel Lustig,
Michael Pellauer,
2014,
2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.
Margaret Martonosi,
Frederic T. Chong,
Jonathan M. Baker,
2019,
ASPLOS.
Margaret Martonosi,
Chau-Wen Tseng,
Mary W. Hall,
1996,
IEEE Trans. Parallel Distributed Syst..
Margaret Martonosi,
Wenhao Jia,
Kelly A. Shaw,
2013,
Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques.
Sharad Malik,
Margaret Martonosi,
Pranav Ashar,
1998,
Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
Margaret Martonosi,
Douglas W. Clark,
Malena Mesarina,
1996,
SPDT '96.
Margaret Martonosi,
Antoine Bagula,
Bhaskaran Raman,
2013
.
Margaret Martonosi,
Eric Chi,
Stephen A. Lyon,
2007,
ISCA '07.
Margaret Martonosi,
Darko Stefanovic,
2000,
FPL.
Margaret Martonosi,
2012,
2012 IEEE International Symposium on Performance Analysis of Systems & Software.
Margaret Martonosi,
Edward W. Felten,
1996
.
Sharad Malik,
Margaret Martonosi,
Peixin Zhong,
2008
.
Margaret Martonosi,
Abhishek Bhattacharjee,
2010
.
Margaret Martonosi,
Gilberto Contreras,
Gilberto Contreras,
2005,
ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
Margaret Martonosi,
Frederic T. Chong,
Diana Franklin,
2018,
2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Margaret Martonosi,
Stephen G. Kobourov,
Ramón Cáceres,
2011,
2011 IEEE International Conference on Pervasive Computing and Communications Workshops (PERCOM Workshops).
Margaret Martonosi,
Stephen G. Kobourov,
Ramón Cáceres,
2011,
Pervasive.
Counterexamples and Proof Loophole for the C/C++ to POWER and ARMv7 Trailing-Sync Compiler Mappings
pdf
Margaret Martonosi,
Daniel Lustig,
Caroline Trippel,
2016,
ArXiv.
Margaret Martonosi,
Stefanos Kaxiras,
M. Martonosi,
2008,
Computer Architecture Techniques for Power-Efficiency.
Margaret Martonosi,
Canturk Isci,
M. Martonosi,
2006,
The Twelfth International Symposium on High-Performance Computer Architecture, 2006..
Margaret Martonosi,
Sharad Malik,
Fen Xie,
2006
.
Margaret Martonosi,
Ozlem Bilgir,
M. Martonosi,
2015,
2015 IEEE Conference on Computer Communications (INFOCOM).
Margaret Martonosi,
Vivek Tiwari,
David M. Brooks,
2000,
Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).
Margaret Martonosi,
Zhen Luo,
Pranav Ashar,
2000,
VLSI Design.
Margaret Martonosi,
Wenhao Jia,
Kelly A. Shaw,
2015,
TACO.
Margaret Martonosi,
Gilberto Contreras,
Gilberto Contreras,
2006,
2006 IEEE International Symposium on Workload Characterization.
Margaret Martonosi,
Martin Rötteler,
M. Martonosi,
2019,
ArXiv.
Margaret Martonosi,
Zhen Luo,
2001
.
Margaret Martonosi,
Canturk Isci,
2003,
MICRO.
Margaret Martonosi,
Stefanos Kaxiras,
Zhigang Hu,
2001,
ISCA 2001.
Margaret Martonosi,
Xianfeng Zhou,
2000,
IPDPS Workshops.
Margaret Martonosi,
James Donald,
2006,
ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.
Yong Wang,
Margaret Martonosi,
Li-Shiuan Peh,
2004,
MOCO.
Margaret Martonosi,
Pei Zhang,
2010,
IEEE Transactions on Mobile Computing.
Margaret Martonosi,
Daniel Lustig,
Caroline Trippel,
2016,
ArXiv.
Margaret Martonosi,
David M. Brooks,
Pradip Bose,
2000,
PACS.
MeltdownPrime and SpectrePrime: Automatically-Synthesized Attacks Exploiting Invalidation-Based Coherence Protocols
pdf
Margaret Martonosi,
Daniel Lustig,
Caroline Trippel,
2018,
ArXiv.
Margaret Martonosi,
Richard R. Muntz,
Edmundo de Souza e Silva,
2002,
SIGMETRICS 2002.
Sharad Malik,
Margaret Martonosi,
Fen Xie,
2005,
ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
Margaret Martonosi,
Prakash Murali,
David C. McKay,
2019,
ASPLOS.
Margaret Martonosi,
Roy Dz-Ching Ju,
Gilberto Contreras,
2007,
TECS.
Margaret Martonosi,
John Shen,
2006,
ASPLOS 2006.
Yong Wang,
Margaret Martonosi,
Daniel Rubenstein,
2002,
ASPLOS X.
Margaret Martonosi,
Adam Wolisz,
Tarek Abdelzaher,
2008,
SenSys.
Margaret Martonosi,
Li-Shiuan Peh,
Emmanouil Koukoumidis,
2011,
2011 IEEE International Conference on Pervasive Computing and Communications (PerCom).
Margaret Martonosi,
David M. Brooks,
D. Brooks,
1999,
CANPC.
Erik Hagersten,
Margaret Martonosi,
Per Stenström,
2000,
Adv. Comput..
Yong Wang,
Margaret Martonosi,
Li-Shiuan Peh,
2005,
SenSys '05.
Margaret Martonosi,
Kevin Skadron,
Douglas W. Clark,
2000,
J. Instr. Level Parallelism.
Margaret Martonosi,
Kevin Skadron,
David I. August,
2003,
Computer.
Margaret Martonosi,
Ozlem Bilgir,
2014,
Proceeding of IEEE International Symposium on a World of Wireless, Mobile and Multimedia Networks 2014.
Margaret Martonosi,
Chau-Wen Tseng,
Mary W. Hall,
2016,
International Journal of Parallel Programming.
Margaret Martonosi,
Daniel Lustig,
Abhishek Bhattacharjee,
2016,
ASPLOS.
Sharad Malik,
Margaret Martonosi,
Aarti Gupta,
2018,
2018 Formal Methods in Computer Aided Design (FMCAD).
Margaret Martonosi,
Qiang Wu,
Li-Shiuan Peh,
2005,
ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
Margaret Martonosi,
Frederic T. Chong,
Diana Franklin,
2020,
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).
Carole-Jean Wu,
Margaret Martonosi,
Aamer Jaleel,
2011,
2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Margaret Martonosi,
Stefanos Kaxiras,
Zhigang Hu,
2003,
The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..
Margaret Martonosi,
Frederic T. Chong,
Pranav Gokhale,
2020
.
Sharad Malik,
Margaret Martonosi,
Peixin Zhong,
1997
.
Margaret Martonosi,
David M. Brooks,
Pradip Bose,
2004,
PERV.
Margaret Martonosi,
Stefanos Kaxiras,
Zhigang Hu,
2004
.
Margaret Martonosi,
Kevin Skadron,
Stefanos Kaxiras,
2004,
TACO.
Margaret Martonosi,
Yipeng Huang,
M. Martonosi,
2018,
PLATEAU@SPLASH.
Margaret Martonosi,
Li-Shiuan Peh,
Emmanouil Koukoumidis,
2011,
MobiSys '11.
Margaret Martonosi,
Sibren Isaacman,
2008,
WiNS-DR '08.
Sharad Malik,
Margaret Martonosi,
Fen Xie,
2003,
PLDI '03.
Margaret Martonosi,
Stefanos Kaxiras,
Zhigang Hu,
2002,
ISCA.
Margaret Martonosi,
2018,
GETMBL.
Margaret Martonosi,
Frederic T. Chong,
Chen-Fu Chiang,
2014,
2014 IEEE International Symposium on Workload Characterization (IISWC).
Sharad Malik,
Margaret Martonosi,
Fen Xie,
2004,
TACO.
Margaret Martonosi,
Russ Joseph,
2001,
ISLPED '01.
Sharad Malik,
Margaret Martonosi,
Yavuz Yetim,
2012,
17th Asia and South Pacific Design Automation Conference.
Margaret Martonosi,
Juan L. Aragón,
Tae Jun Ham,
2019,
ACM Trans. Archit. Code Optim..
Margaret Martonosi,
Pei Zhang,
Yong Wang,
2007
.
Margaret Martonosi,
Walter Willinger,
Ramón Cáceres,
2012,
MobiSys '12.
Margaret Martonosi,
Li-Shiuan Peh,
Julia Chen,
2005,
CARN.
Yong Wang,
Margaret Martonosi,
Li-Shiuan Peh,
2006,
2006 3rd Annual IEEE Communications Society on Sensor and Ad Hoc Communications and Networks.
Margaret Martonosi,
Darko Stefanovic,
M. Martonosi,
2000,
Euro-Par.
Carole-Jean Wu,
Margaret Martonosi,
M. Martonosi,
2011,
(IEEE ISPASS) IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE.
Margaret Martonosi,
Frederic T. Chong,
Diana Franklin,
2015,
ASPLOS.
Margaret Martonosi,
2006,
LCTES.
Margaret Martonosi,
Philo Juang,
2006
.
Margaret Martonosi,
Daniel Lustig,
Caroline Trippel,
2015,
2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).
Margaret Martonosi,
Kevin Skadron,
Zhigang Hu,
2002,
Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.
Margaret Martonosi,
Vincent Lenders,
M. Martonosi,
2009,
IEEE Transactions on Mobile Computing.
Margaret Martonosi,
Frederic T. Chong,
Shruti Patil,
2014,
Conf. Computing Frontiers.
Location-based trust for mobile user-generated content: applications, challenges and implementations
Margaret Martonosi,
Pei Zhang,
Vincent Lenders,
2008,
HotMobile '08.
Margaret Martonosi,
Kevin Skadron,
Douglas W. Clark,
1999
.
Margaret Martonosi,
Nadathur Satish,
Lisa Wu,
2016,
2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Margaret Martonosi,
Daniel Lustig,
Yatin A. Manerkar,
2017,
2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
Margaret Martonosi,
Kevin Skadron,
Douglas W. Clark,
1999,
IEEE Trans. Computers.
Liviu Iftode,
Margaret Martonosi,
Cheng Liao,
1998,
ICS '98.
Margaret Martonosi,
2008,
CASES '08.
Margaret Martonosi,
Wenhao Jia,
Kelly A. Shaw,
2012,
ICS '12.
Rance Cleaveland,
Margaret Martonosi,
Pinaki Mazumder,
2021,
IEEE Micro.
Guy Van den Broeck,
Todd Millstein,
Margaret Martonosi,
2021,
ASPLOS.
Margaret Martonosi,
David Wentzlaff,
Aninda Manocha,
2021,
2021 58th ACM/IEEE Design Automation Conference (DAC).
Margaret Martonosi,
John Wickerson,
Alastair F. Donaldson,
2021,
Proc. ACM Program. Lang..
Margaret Martonosi,
Guy E. Blelloch,
William J. Dally,
2021,
SPAA.
Margaret Martonosi,
Teague Tomesh,
M. Martonosi,
2021,
IEEE Micro.
Margaret Martonosi,
Prakash Murali,
Lingling Lao,
2021,
2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).
Kunle Olukotun,
Margaret Martonosi,
Josep Torrellas,
2011
.
Margaret Martonosi,
Anoop Gupta,
1988
.
Sharad Malik,
Margaret Martonosi,
Pranav Ashar,
1998
.
Margaret Martonosi,
Daniel Lustig,
Caroline Trippel,
2018
.
Margaret Martonosi,
Doug Burger,
Mark Heinrich,
2002
.
Margaret Martonosi,
Kevin Skadron,
Douglas W. Clark,
1999
.
Margaret Martonosi,
Frederic T. Chong,
Pranav Gokhale,
2019,
IEEE Transactions on Quantum Engineering.
Margaret Martonosi,
2001
.
Margaret Martonosi,
Eric Chi,
Stephen A. Lyon,
2008
.