Kiyoung Choi

发表

Kiyoung Choi, Heesu Kim, Joonsang Yu, 2017, 2017 International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

Kiyoung Choi, Sungjoo Yoo, Dongwook Lee, 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

Kiyoung Choi, Nikil Dutt, Jongeun Lee, 2003, Proceedings IEEE International Conference on Application-Specific Systems, Architectures, and Processors. ASAP 2003.

Kiyoung Choi, Dongwook Lee, Imyong Lee, 2007, 2007 IEEE International Conf. on Application-specific Systems, Architectures and Processors (ASAP).

Kiyoung Choi, Jongeun Lee, Atul Rahman, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kiyoung Choi, Kyuseung Han, Jong Kyung Paek, 2010, 2010 International Conference on Field-Programmable Technology.

Kiyoung Choi, Sungjoo Yoo, S. Yoo, 1997 .

Kiyoung Choi, Mary Kiemb, 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).

Kiyoung Choi, Sungjoo Yoo, Junwhan Ahn, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kiyoung Choi, Jae Hong Park, Dong-Yeon Lee, 2011, 2011 6th IEEE International Conference on Nano/Micro Engineered and Molecular Systems.

Kiyoung Choi, HyoukJoong Lee, V. K. Prasad Arava, 2008, 2008 IEEE Computer Society Annual Symposium on VLSI.

Kiyoung Choi, Di Wu, Imyong Lee, 2010, 2010 International SoC Design Conference.

Kiyoung Choi, Dong Sam Ha, Sungjoo Yoo, 2000, IEEE Trans. Very Large Scale Integr. Syst..

Kiyoung Choi, Rabi N. Mahapatra, Yoonjin Kim, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kiyoung Choi, Yonghwan Kim, Wook Kim, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kiyoung Choi, Youngsoo Shin, 1997, Proceedings of 1997 IEEE International Symposium on Circuits and Systems. Circuits and Systems in the Information Age ISCAS '97.

Kiyoung Choi, Namhyung Kim, 2016, J. Syst. Archit..

Kiyoung Choi, Jinhwan Jeon, Daehong Kim, 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

Kiyoung Choi, Sungjoo Yoo, Byungil Jeong, 2000, ASP-DAC '00.

Kiyoung Choi, Kyuseung Han, Seongsik Park, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kiyoung Choi, Sungjoo Yoo, Byungil Jeong, 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

Kiyoung Choi, Jongeun Lee, Jong Kyung Paek, 2010, CARN.

Kiyoung Choi, Joonsang Yu, Subin Huh, 2017, 2017 International SoC Design Conference (ISOCC).

Kiyoung Choi, Sungjoo Yoo, Jinyong Jung, 2001, Proceedings Design, Automation and Test in Europe. Conference and Exhibition 2001.

Kiyoung Choi, Dongwan Shin, Daehong Kim, 2001, ISLPED '01.

Kiyoung Choi, Dongwook Lee, Kyuseung Han, 2009, 2009 International Conference on Field-Programmable Technology.

Kiyoung Choi, Young-ran Ma, 1999 .

Kiyoung Choi, Sungjoo Yoo, Junwhan Ahn, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Kiyoung Choi, Heesu Kim, Euntae Choi, 2018, 2018 Asia-Pacific Signal and Information Processing Association Annual Summit and Conference (APSIPA ASC).

Di Wu, Kiyoung Choi, Junwhan Ahn, 2012, 2012 International Symposium on System on Chip (SoC).

Kiyoung Choi, Sungjoo Yoo, S. Yoo, 1998, Proceedings of the Sixth International Workshop on Hardware/Software Codesign. (CODES/CASHE'98).

Kiyoung Choi, Jin Young Choi, Kyounghoon Kim, 2016, Pattern Recognit..

Kiyoung Choi, Jinhwan Jeon, 1998, Proceedings of 1998 Asia and South Pacific Design Automation Conference.

Kiyoung Choi, M. Balakrishnan, Mansureh Shahraki Moghaddam, 2017, Des. Autom. Embed. Syst..

Kiyoung Choi, Junwhan Ahn, 2012, 2012 IEEE International Symposium on Circuits and Systems.

Kiyoung Choi, Jinho Lee, Mingyang Zhu, 2012, 2012 IEEE/IFIP 20th International Conference on VLSI and System-on-Chip (VLSI-SoC).

Kiyoung Choi, Nikil D. Dutt, Jongeun Lee, 2003, ISLPED '03.

Kiyoung Choi, Jongeun Lee, Kyuseung Han, 2011, 2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS).

Kiyoung Choi, Robert Alverson, Tom Blank, 1988 .

Kiyoung Choi, Jung Ho Ahn, Jinho Lee, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kiyoung Choi, Changsung Jeong, Sungup Jo, 2012, Multimedia Tools and Applications.

Kiyoung Choi, Rabi N. Mahapatra, Yoonjin Kim, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kiyoung Choi, Yonghwan Kim, Wook Kim, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kiyoung Choi, Jongeun Lee, Kyounghoon Kim, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kiyoung Choi, Youngchul Cho, Kiyoung Choi, 2009, 2009 Asia and South Pacific Design Automation Conference.

Kiyoung Choi, Jinho Lee, Sungju Han, 2014, NoCArc '14.

Kiyoung Choi, Kyoung Hoon Kim, 2014 .

Nacer-Eddine Zergainoh, Kiyoung Choi, Sungjoo Yoo, 2003, DATE.

Kiyoung Choi, Youngsoo Shin, Youngsoo Shin, 1999, DAC '99.

Kiyoung Choi, Kyungwook Chang, Kiyoung Choi, 2008, 2008 International SoC Design Conference.

Kiyoung Choi, Junwhan Ahn, Imyong Lee, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Nacer-Eddine Zergainoh, Ahmed Amine Jerraya, Kiyoung Choi, 2007, 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007).

Kiyoung Choi, Youngsoo Shin, 1996, ICCAD 1996.

Chi-Ying Tsui, Kiyoung Choi, Jae-Joon Kim, 2015, IFIP Advances in Information and Communication Technology.

Kiyoung Choi, Heesu Kim, Jinho Lee, 2018, Neurocomputing.

Ki-Hyun Kim, Kiyoung Choi, Hyunseok Chang, 1999, ICVC '99. 6th International Conference on VLSI and CAD (Cat. No.99EX361).

Soonhoi Ha, Kiyoung Choi, Bernhard Egger, 2017, 2017 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Kiyoung Choi, Sungjoo Yoo, Jun-hee Yoo, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Kiyoung Choi, Andreas Gerstlauer, Heesu Kim, 2019, 2019 IEEE International Symposium on Circuits and Systems (ISCAS).

Kiyoung Choi, Taekyoon Ahn, 1997, Proceedings of 1997 IEEE International Symposium on Circuits and Systems. Circuits and Systems in the Information Age ISCAS '97.

Kiyoung Choi, Mansureh Shahraki Moghaddam, Jae-Min Cho, 2017, Handbook of Hardware/Software Codesign.

Kiyoung Choi, 2011, IPSJ Trans. Syst. LSI Des. Methodol..

Kiyoung Choi, Nikil D. Dutt, Ganghee Lee, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kiyoung Choi, Yong-Joo Kim, Kyuseok Kim, 1994 .

Kiyoung Choi, Youngsoo Shin, 2000, ASP-DAC.

Kiyoung Choi, Sungjoo Yoo, S. Yoo, 2000, Des. Autom. Embed. Syst..

Kiyoung Choi, Deming Chen, Philippe Coussy, 2012, J. Electr. Comput. Eng..

Kiyoung Choi, Sungpack Hong, Sungjoo Yoo, 2015 .

Kiyoung Choi, Sun Young Hwang, Tom Blank, 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Yunheung Paek, Kiyoung Choi, Yoonjin Kim, 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.

Kiyoung Choi, Seongsik Park, 2011, 2011 3rd Asia Symposium on Quality Electronic Design (ASQED).

Kiyoung Choi, Ganghee Lee, Kiyoung Choi, 2010, 2010 NASA/ESA Conference on Adaptive Hardware and Systems.

Kiyoung Choi, Jinho Lee, 2012, 17th Asia and South Pacific Design Automation Conference.

Kiyoung Choi, Dongwook Lee, Manhwee Jo, 2008, 2008 International SoC Design Conference.

Kiyoung Choi, Jun-Woo Kang, KiJong Lee, 1994, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Nacer-Eddine Zergainoh, Ahmed Amine Jerraya, Kiyoung Choi, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Kiyoung Choi, Sungjoo Yoo, Junwhan Ahn, 2016, IEEE Transactions on Computers.

Kiyoung Choi, Sungjoo Yoo, Sunghyun Lee, 2002, CODES '02.

Kiyoung Choi, Byung-Ju Yi, Whee Kuk Kim, 2004, IEEE International Conference on Robotics and Automation, 2004. Proceedings. ICRA '04. 2004.

Kiyoung Choi, Jinho Lee, Dongwook Lee, 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yunheung Paek, Kiyoung Choi, Jonghee W. Yoon, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Kiyoung Choi, Sungjoo Yoo, Byungil Jeong, 1999, Symposium on Field Programmable Gate Arrays.

Kiyoung Choi, Kyounghoon Kim, 2017, 2017 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

Kiyoung Choi, Namhyung Kim, 2015, 2015 International SoC Design Conference (ISOCC).

Kiyoung Choi, Jongeun Lee, Hossein Moradian, 2017, 2017 International Conference on Field Programmable Technology (ICFPT).

Kiyoung Choi, Youngsoo Shin, Young-Hoon Chang, 2001, IEEE Trans. Very Large Scale Integr. Syst..

Kiyoung Choi, Taekyoon Ahn, Kiyoung Choi, 1997 .

Kiyoung Choi, Ganghee Lee, Seokhyun Lee, 2008, 2008 International SoC Design Conference.

Kiyoung Choi, Seokhyun Lee, Kiyoung Choi, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Kiyoung Choi, KiJong Lee, 1996, IEEE Trans. Very Large Scale Integr. Syst..

Kiyoung Choi, Naehyuck Chang, 2015, VLSI-SoC.

Kiyoung Choi, Jongeun Lee, Atul Rahman, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Kiyoung Choi, Seokhyun Lee, Dong-yeob Shin, 2008, 2008 International SoC Design Conference.

Kiyoung Choi, Nikil Dutt, Jongeun Lee, 2003 .

Kiyoung Choi, Sungjoo Yoo, Junwhan Ahn, 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

Kiyoung Choi, Junwhan Ahn, Junwhan Ahn, 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Kiyoung Choi, Ki Jong Lee, Dong Wan Shin, 1996 .

Kiyoung Choi, Jinho Lee, 2013, 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

Kiyoung Choi, Jongeun Lee, Kyounghoon Kim, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Kiyoung Choi, Imyong Lee, Sungyeum Kim, 2004 .

Ahmed Amine Jerraya, Kiyoung Choi, Sungjoo Yoo, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Kiyoung Choi, Joonsang Yu, Sungbum Kang, 2019, AAAI.

Kiyoung Choi, Jaehyun Kim, Chaeun Lee, 2019, 2019 International SoC Design Conference (ISOCC).

Sang-Heon Lee, Kiyoung Choi, Soojung Ryu, 2016, 2016 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

Kiyoung Choi, Joonsang Yu, Gunhee Lee, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Kiyoung Choi, Gunhee Lee, Hanmin Park, 2018, 2018 IEEE 36th International Conference on Computer Design (ICCD).

Kiyoung Choi, Yongho Lee, Taewhan Kim, 2009, 2009 International SoC Design Conference (ISOCC).

Kiyoung Choi, Kyungwook Chang, Ganghee Lee, 2010, 2010 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum (IPDPSW).

Kiyoung Choi, Andreas Gerstlauer, Jorg Henkel, 2020, IEEE Transactions on Circuits and Systems I: Regular Papers.

Kiyoung Choi, Jinho Lee, Gunhee Lee, 2013, NoCArc '13.

Kiyoung Choi, Jongeun Lee, Jong Kyung Paek, 2014, TODE.

Kiyoung Choi, Soo-Ik Chae, Youngsoo Shin, 1998, ISLPED '98.

Kiyoung Choi, Taekyoon Ahn, 1996 .

Kiyoung Choi, Sungjoo Yoo, Dongwook Lee, 2007, 2007 Asia and South Pacific Design Automation Conference.

Kiyoung Choi, HyoukJoong Lee, 2008, 2008 International SoC Design Conference.

Kiyoung Choi, Jae Min Cho, 2014, Technical Papers of 2014 International Symposium on VLSI Design, Automation and Test.

Kiyoung Choi, Seongsoo Hong, Sungjoo Yoo, 1996, Proceedings of 3rd International Workshop on Real-Time Computing Systems and Applications.

Kiyoung Choi, Joonsang Yu, Jongeun Lee, 2016, 2016 International SoC Design Conference (ISOCC).

Kiyoung Choi, Nikil D. Dutt, 2003, Computer.

Kiyoung Choi, Pierre Nicolas-Nicolaz, 2015, 2015 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

Kiyoung Choi, Onur Mutlu, Sungjoo Yoo, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Kiyoung Choi, Youngsoo Shin, Youngsoo Shin, 1997, CODES.

Kiyoung Choi, John Kim, Gabriel H. Loh, 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Kiyoung Choi, Yongjin Ahn, Ganghee Lee, 2007, 2007 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation.

Kiyoung Choi, Junwhan Ahn, Woong Seo, 2015, 2015 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

Kiyoung Choi, Jae-Hee Won, 2000, ISLPED '00.

Kiyoung Choi, Dongwan Shin, 1997, ISLPED '97.

Kiyoung Choi, Yoonjin Kim, Mary Kiemb, 2005, Design, Automation and Test in Europe.

Kiyoung Choi, Jungki Kim, Joonsang Yu, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Kiyoung Choi, Young-Hyun Jun, Kisun Kim, 1999, ICVC '99. 6th International Conference on VLSI and CAD (Cat. No.99EX361).

Kiyoung Choi, Dongwan Shin, Daehong Kim, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kiyoung Choi, Kyuseung Han, Jihyun Ryoo, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Kiyoung Choi, Sungjoo Yoo, Jun-hee Yoo, 2012, IEEE Transactions on Computers.

Kiyoung Choi, Sanghun Park, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kiyoung Choi, Hyunjik Song, Yongjin Ahn, 2008, TODE.

Kiyoung Choi, Soo-Ik Chae, Youngsoo Shin, 1998 .

Kiyoung Choi, Jongeun Lee, Kyounghoon Kim, 2015, 2015 International SoC Design Conference (ISOCC).

Kiyoung Choi, Nikil D. Dutt, Jongeun Lee, 2008, Int. J. Embed. Syst..

Kiyoung Choi, Kyuseok Kim, Yongjoo Kim, 1995 .

Kiyoung Choi, Jihong Kim, Sungtaek Lim, 2001, CODES '01.

Kiyoung Choi, Jongeun Lee, Yunjin Kim, 2004 .

Kiyoung Choi, Dongwoo Lee, 2014, 2014 22nd International Conference on Very Large Scale Integration (VLSI-SoC).

Kiyoung Choi, Nikil D. Dutt, Jongeun Lee, 2003, IEEE Design & Test of Computers.

Kiyoung Choi, Sanghun Park, 1999, DAC '99.

Nacer-Eddine Zergainoh, Ahmed Amine Jerraya, Kiyoung Choi, 2007, 18th IEEE/IFIP International Workshop on Rapid System Prototyping (RSP '07).

Kiyoung Choi, Rohit Sharma, Kiyoung Choi, 2010, 2nd Asia Symposium on Quality Electronic Design (ASQED).

Kiyoung Choi, Youngsoo Shin, Jae-Hee Won, 1995, Proceedings of ISCAS'95 - International Symposium on Circuits and Systems.

Kiyoung Choi, Jongeun Lee, Kyuseung Han, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kiyoung Choi, Kyuseok Kim, Yongjoo Kim, 1996, Proceedings Seventh IEEE International Workshop on Rapid System Prototyping. Shortening the Path from Specification to Prototype.

Kiyoung Choi, Sungjoo Yoo, Jongeun Lee, 2000, DATE '00.

Kiyoung Choi, Hanmin Park, Ji-Hyun Ryoo, 2012 .

Kiyoung Choi, Jung Ho Ahn, Jinho Lee, 2011, 2011 International SoC Design Conference.

Kiyoung Choi, Jaehyun Kim, Chaeun Lee, 2018, 2018 International SoC Design Conference (ISOCC).

Kiyoung Choi, Junwhan Ahn, Junwhan Ahn, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kiyoung Choi, Youngsoo Shin, 1996, Proceedings ED&TC European Design and Test Conference.

Sang-Heon Lee, Kiyoung Choi, Dongwoo Lee, 2017, ACM Trans. Archit. Code Optim..

Kiyoung Choi, Nikil D. Dutt, Jongeun Lee, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Kiyoung Choi, Sungjoo Yoo, Junwhan Ahn, 2013, International Symposium on Low Power Electronics and Design (ISLPED).

Kiyoung Choi, Nikil Dutt, Yongjin Ahn, 2009, 2009 International Conference on Field-Programmable Technology.

Jason Cong, Ronald Tetzlaff, Gert Cauwenberghs, 2018, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Kiyoung Choi, Jihun Kim, Jaehyun Kim, 2019, 2019 International SoC Design Conference (ISOCC).

Kiyoung Choi, Dong Nguyen, Jongeun Lee, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Kiyoung Choi, Eui-Young Chung, Kyu-Myung Choi, 2006, Asia and South Pacific Conference on Design Automation, 2006..

Kiyoung Choi, Young-Kook Kim, Kyuwoong Kim, 1995 .

Kiyoung Choi, Jinho Lee, Dongwook Lee, 2013, TODE.

Soonhoi Ha, Kiyoung Choi, Chong-Min Kyung, 2008, ASP-DAC 2008.

Kiyoung Choi, Dongwoo Lee, Junwhan Ahn, 2012, 2012 International SoC Design Conference (ISOCC).

Kiyoung Choi, Youngsoo Shin, 1998, Proceedings. 24th EUROMICRO Conference (Cat. No.98EX204).

Kiyoung Choi, Sungjoo Yoo, Junwhan Ahn, 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

Kiyoung Choi, Kyuseok Kim, Yongjoo Kim, 1998, Des. Autom. Embed. Syst..

Kiyoung Choi, Sanghun Park, 1998 .

Kiyoung Choi, Robert Alverson, Tom Blank, 1988 .

Kiyoung Choi, Joonsang Yu, Jongeun Lee, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

Kiyoung Choi, Taekyoon Ahn, 1997, Proceedings of 40th Midwest Symposium on Circuits and Systems. Dedicated to the Memory of Professor Mac Van Valkenburg.

Kiyoung Choi, Sungjoo Yoo, Soo-Ik Chae, 2003, Des. Autom. Embed. Syst..

Kiyoung Choi, Sungjoo Yoo, Jun-hee Yoo, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kiyoung Choi, Hyunjik Song, Yoonjin Kim, 2004 .

Kiyoung Choi, Yongjin Ahn, Ganghee Lee, 2010, Des. Autom. Embed. Syst..

Kiyoung Choi, Jae-Hee Won, 2000, Proceedings of the 26th European Solid-State Circuits Conference.

Nacer-Eddine Zergainoh, Ahmed Amine Jerraya, Kiyoung Choi, 2007, Des. Autom. Embed. Syst..

Kiyoung Choi, Sungjoo Yoo, Jinyong Jung, 2007, Des. Autom. Embed. Syst..

Kiyoung Choi, Jaehyun Baek, 2008, 2008 International SoC Design Conference.

Kiyoung Choi, Kyuseung Han, Yangsu Kim, 2011, 2011 International SoC Design Conference.

Soonhoi Ha, Kiyoung Choi, Bernhard Egger, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Kiyoung Choi, Soo-Ik Chae, Youngsoo Shin, 2001, IEEE Trans. Very Large Scale Integr. Syst..

Yiran Chen, Kiyoung Choi, Weisheng Zhao, 2015, IEEE Transactions on Multi-Scale Computing Systems.

Kiyoung Choi, Jinho Lee, Junwhan Ahn, 2015, The 20th Asia and South Pacific Design Automation Conference.

Kiyoung Choi, Daehong Kim, 1997, DAC.

Kiyoung Choi, Kyounghoon Kim, Helin Lin, 2014, 2014 IEEE Intelligent Vehicles Symposium Proceedings.

Kiyoung Choi, Jun Woo Kang, 1993 .

Kiyoung Choi, Hyunjik Song, 2017, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

Kiyoung Choi, Jaehyun Kim, Jihun Kim, 2019, 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Kiyoung Choi, Takayasu Sakurai, Youngsoo Shin, 2001, VLSI Design.

Kiyoung Choi, Dongwan Shin, Jinhwan Jeon, 2001, ASP-DAC '01.

Kiyoung Choi, Dongwook Lee, Imyong Lee, 2008, 2008 International SoC Design Conference.

Ki-Hyun Kim, Kiyoung Choi, Taekyoon Ahn, 2000, 2000 IEEE International Symposium on Circuits and Systems. Emerging Technologies for the 21st Century. Proceedings (IEEE Cat No.00CH36353).

Kiyoung Choi, Nikil D. Dutt, Jongeun Lee, 2003, LCTES '03.

Kiyoung Choi, Hossein Moradian, Sujeong Jo, 2018, 2018 International SoC Design Conference (ISOCC).

Kiyoung Choi, Sungjoo Yoo, 1999, ICVC '99. 6th International Conference on VLSI and CAD (Cat. No.99EX361).

Kiyoung Choi, Jae-Hee Won, 1999, ICVC '99. 6th International Conference on VLSI and CAD (Cat. No.99EX361).

Kiyoung Choi, John Kim, Gabriel H. Loh, 2012, IEEE J. Emerg. Sel. Topics Circuits Syst..

Kiyoung Choi, Soojung Ryu, Junwhan Ahn, 2018, ACM Trans. Archit. Code Optim..

Kiyoung Choi, Sun Young Hwang, Tom Blank, 1988, 25th ACM/IEEE, Design Automation Conference.Proceedings 1988..

Kiyoung Choi, Kyuseung Han, Ganghee Lee, 2014, IEEE Transactions on Dependable and Secure Computing.

Kiyoung Choi, Takayasu Sakurai, Youngsoo Shin, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Kiyoung Choi, Jung Ho Ahn, Jinho Lee, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kiyoung Choi, Jinho Lee, Jong Kyung Paek, 2009, 2009 International SoC Design Conference (ISOCC).

Kiyoung Choi, Jae-Hee Won, 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).

Kiyoung Choi, Jongeun Lee, Jong Kyung Paek, 2011, 2011 IEEE International Symposium on Parallel and Distributed Processing Workshops and Phd Forum.

Kiyoung Choi, H. Peter Hofstee, Heesu Kim, 2017, Proc. VLDB Endow..

Soonhoi Ha, Kiyoung Choi, Bernhard Egger, 2017, CASES.

Kiyoung Choi, Jongeun Lee, Sungjoo Yoo, 1999 .

Kiyoung Choi, Sungjoo Yoo, Junwhan Ahn, 2016 .

Soonhoi Ha, Kiyoung Choi, Bernhard Egger, 2017, 2017 International Conference on Compilers, Architectures and Synthesis For Embedded Systems (CASES).

Kiyoung Choi, Youngsoo Shin, 1996, Proceedings of International Conference on Computer Aided Design.

Kiyoung Choi, Onur Mutlu, Sungpack Hong, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Kiyoung Choi, Jinhwan Jeon, Junghwan Choi, 2000, ISLPED '00.

Kiyoung Choi, Jung Ho Ahn, Soojung Ryu, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kiyoung Choi, Youngsoo Shin, 2000, Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).

Kiyoung Choi, Jinho Lee, Kyungsu Kang, 2015, ACM J. Emerg. Technol. Comput. Syst..

Kiyoung Choi, Junwhan Ahn, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kiyoung Choi, Joonsang Yu, Sungbum Kang, 2018, 2018 International SoC Design Conference (ISOCC).

Kiyoung Choi, Hyuk-Jae Lee, Soojung Ryu, 2021, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Kiyoung Choi, Soo-Ik Chae, Youngsoo Shin, 1998, Proceedings. 1998 International Symposium on Low Power Electronics and Design (IEEE Cat. No.98TH8379).

Kiyoung Choi, Youngsoo Shin, Youngsoo Shin, 2000, Proceedings 37th Design Automation Conference.

Kiyoung Choi, Soojung Ryu, Junwhan Ahn, 2018, Report on carcinogens : carcinogen profiles.

Kiyoung Choi, Sungtaek Lim, Jihong Kim, 2001, Ninth International Symposium on Hardware/Software Codesign. CODES 2001 (IEEE Cat. No.01TH8571).

Kiyoung Choi, Sungjoo Yoo, Junwhan Ahn, 2016 .

Kiyoung Choi, Sungjoo Yoo, Youngchul Cho, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Kiyoung Choi, Mingyang Zhu, Jinho Lee, 2012, 2012 IEEE/IFIP 20th International Conference on VLSI and System-on-Chip (VLSI-SoC).

Kiyoung Choi, Dongwan Shin, 1997, Proceedings of 1997 International Symposium on Low Power Electronics and Design.

Kiyoung Choi, Sungjoo Yoo, Sunghyun Lee, 2002, Proceedings of the International Symposium on Low Power Electronics and Design.

Kiyoung Choi, Jae-Hee Won, 1998, ISCAS '98. Proceedings of the 1998 IEEE International Symposium on Circuits and Systems (Cat. No.98CH36187).

Kiyoung Choi, Sanghun Park, 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).

Kiyoung Choi, Yoonjin Kim, Chulsoo Park, 2006 .