J. Mahattanakul

发表

J. Mahattanakul, 1996 .

J. Mahattanakul, K. Trijpech, O. Wiriyanuruknakorn, 2007, 2007 7th International Conference on ASIC.

Christofer Toumazou, J. Mahattanakul, 1997 .

J. Mahattanakul, A. Jiraseree-amornkun, C. Upathamkuekool, 2012, 2012 IEEE International Conference on Electron Devices and Solid State Circuit (EDSSC).

J. Mahattanakul, Christofer Toumazou, 1997, Proceedings of 1997 IEEE International Symposium on Circuits and Systems. Circuits and Systems in the Information Age ISCAS '97.

S. Pookaiyaudom, J. Mahattanakul, C. Toumazou, 2001, ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196).

J. Mahattanakul, A. Demosthenous, C. Sawigun, 2007, 2007 18th European Conference on Circuit Theory and Design.

Christofer Toumazou, J. Mahattanakul, C. Toumazou, 1999 .