Ying Wang

发表

Cheng Wang, Xiaowei Li, Yinhe Han, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Huawei Li, Xiaowei Li, Sen Li, 2015, 2015 IEEE 24th Asian Test Symposium (ATS).

Chunlong Wu, Kaipei Liu, Yujiao Zhang, 2012, 2012 16th International Symposium on Electromagnetic Launch Technology.

Ying Wang, Richard R Behringer, D. Ovchinnikov, 2006, Developmental biology.

Yi Li, Jieli Chen, Michael Chopp, 2003, Annals of neurology.