Kaisheng Ma

发表

Narayanan Vijaykrishnan, Xueqing Li, Suman Datta, 2014, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Yuan Xie, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Narayanan Vijaykrishnan, Xueqing Li, Suman Datta, 2014, 2014 IEEE 12th International New Circuits and Systems Conference (NEWCAS).

Meng-Fan Chang, Xueqing Li, Suman Datta, 2017, IEEE Transactions on Electron Devices.

Narayanan Vijaykrishnan, Yuan Xie, Xueqing Li, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Kaisheng Ma, Chenglong Bao, Zhongfan Jia, 2019, ArXiv.

Hao Cheng, Yanzhi Wang, Sijia Liu, 2019, 2019 IEEE/CVF International Conference on Computer Vision (ICCV).

Huazhong Yang, Xueqing Li, Kaisheng Ma, 2019, IEEE Design & Test.

Kaisheng Ma, Linfeng Zhang, Chenglong Bao, 2020, NeurIPS.

Hongyang Chen, Yanzhi Wang, Kaisheng Ma, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Narayanan Vijaykrishnan, Yuan Xie, Xueqing Li, 2015, 2015 IEEE Computer Society Annual Symposium on VLSI.

Yanzhi Wang, Xuehai Qian, Kaisheng Ma, 2019, ArXiv.

Di Wu, Rui Li, Wei Wei, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Xueqing Li, Kaisheng Ma, Sumeet Kumar Gupta, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yu Guo, Kaisheng Ma, Lei Xiang, 2019, ICONIP.

Rui Li, Xiaole Cui, Di Wu, 2012, 2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology.

Kaisheng Ma, Linfeng Zhang, Tong Chen, 2020, 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

Meng-Fan Chang, Huazhong Yang, Xueqing Li, 2018, IEEE Transactions on Electron Devices.

Meng-Fan Chang, Xueqing Li, Suman Datta, 2017, IEEE Transactions on Circuits and Systems I: Regular Papers.

Narayanan Vijaykrishnan, Yuan Xie, Xueqing Li, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Narayanan Vijaykrishnan, Yuan Xie, Yang Zheng, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Rui Li, Di Wu, Kaisheng Ma, 2012, 2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology.

Kaisheng Ma, Linfeng Zhang, Chenglong Bao, 2019, NeurIPS.

Narayanan Vijaykrishnan, Yuan Xie, Xueqing Li, 2015, IEEE Micro.

Narayanan Vijaykrishnan, Yuan Xie, Yang Zheng, 2014, 2014 IEEE Computer Society Annual Symposium on VLSI.

Jingtong Hu, Chun Jason Xue, Xueqing Li, 2020, ACM Great Lakes Symposium on VLSI.

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Yuan Xie, 2018, ASPLOS.

Kaisheng Ma, Linfeng Zhang, Chenglong Bao, 2019, 2019 IEEE/CVF International Conference on Computer Vision (ICCV).

Narayanan Vijaykrishnan, Meng-Fan Chang, Xueqing Li, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Kaisheng Ma, Xiaoxin Cui, Kai Liao, 2013, IEICE Trans. Electron..

Narayanan Vijaykrishnan, Xueqing Li, Kaisheng Ma, 2016, 2016 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

Narayanan Vijaykrishnan, Xueqing Li, Kaisheng Ma, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Meng-Fan Chang, Jiwu Shu, Huazhong Yang, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Narayanan Vijaykrishnan, Arnab Raha, Vijay Raghunathan, 2018, ISLPED.

Meng-Fan Chang, Xueqing Li, Suman Datta, 2017, IEEE Transactions on Electron Devices.

Yanzhi Wang, Kaidi Xu, Kaisheng Ma, 2019, ArXiv.

Mu Zhou, Kaidi Xu, Kaisheng Ma, 2020, 2020 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

Narayanan Vijaykrishnan, Yuan Xie, Xueqing Li, 2016, IEEE Micro.

Narayanan Vijaykrishnan, Yuan Xie, Xueqing Li, 2017, ACM Trans. Embed. Comput. Syst..

Kaisheng Ma, Zhanhong Tan, Runpei Dong, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).