Dongsoo Lee

发表

Woo-Hwan Kim, Kee Sung Kim, Minkyu Kim, 2017, ICISC.

Kaushik Roy, Dongsoo Lee, Yusung Kim, 2013, 2013 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD).

Kaushik Roy, Dongsoo Lee, 2012, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Kaushik Roy, Dongsoo Lee, Jae-Joon Kim, 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

Kwangjo Kim, Paul D. Yoo, Dongsoo Lee, 2014, SCIS 2014.

Kaushik Roy, Anand Raghunathan, Xuanyao Fong, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Dongsoo Lee, Youngsoo Shin, Jinwook Jung, 2015, 2015 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC).

Yoonjung Choi, Dongsoo Lee, Se Jung Kwon, 2020, FINDINGS.

Dongsoo Lee, Byeongwook Kim, Parichay Kapoor, 2018, ArXiv.

Youngsuk Lee, Younghan Kim, Dongsoo Lee, 2013, Journal of Communications and Networks.

Kaushik Roy, Dongsoo Lee, 2014, IEEE Transactions on Nanotechnology.

Kaushik Roy, Dongsoo Lee, Sumeet Kumar Gupta, 2012, ISLPED '12.

Man-Soo Han, Dongsoo Lee, Hark Yoo, 2016, 2016 International Conference on Information and Communication Technology Convergence (ICTC).

Joel Silberman, Swagath Venkataramani, Matthew M. Ziegler, 2018, ISLPED.

Xuanyao Fong, Kaushik Roy, Dongsoo Lee, 2013, IEEE Electron Device Letters.

Kaushik Roy, Dongsoo Lee, K. Roy, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Dongsoo Lee, Byeongwook Kim, Parichay Kapoor, 2018 .

Dongsoo Lee, Byeongwook Kim, Dongsoo Lee, 2018, ArXiv.

Dongsoo Lee, Jae-Joon Kim, Sang Phill Park, 2011, ISLPED 2011.

Kaushik Roy, Ashish Goel, Dongsoo Lee, 2011, 29th VLSI Test Symposium.

Joel Silberman, Swagath Venkataramani, Matthew M. Ziegler, 2018, 2018 IEEE Symposium on VLSI Circuits.

Kaushik Roy, Dongsoo Lee, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Dongsoo Lee, Sang Phill Park, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.