Kailash Chandrashekar

发表

Marian Verhelst, Yorgos Palaskas, Ashoke Ravi, 2012, 2012 IEEE International Solid-State Circuits Conference.

Yorgos Palaskas, Ashoke Ravi, Stefano Pellerano, 2012, 2012 IEEE International Solid-State Circuits Conference.

Stefano Pellerano, Kailash Chandrashekar, Somnath Kundu, 2021, IEEE Journal of Solid-State Circuits.

Ashoke Ravi, Stefano Pellerano, Kailash Chandrashekar, 2015 .

Hyung Seok Kim, Ashoke Ravi, Kailash Chandrashekar, 2017, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Sayfe Kiaei, Bertan Bakkaloglu, Kailash Chandrashekar, 2014 .

Bertan Bakkaloglu, Sushmit Goswami, Anuj Jain, 2008, 2008 IEEE Custom Integrated Circuits Conference.

Bertan Bakkaloglu, Kailash Chandrashekar, B. Bakkaloglu, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yorgos Palaskas, Ashoke Ravi, Stefano Pellerano, 2012, IEEE Journal of Solid-State Circuits.

Bertan Bakkaloglu, Marco Corsi, John W. Fattaruso, 2010, IEEE Transactions on Circuits and Systems II: Express Briefs.

Hyung Seok Kim, Ashoke Ravi, Yee William Li, 2012, 2012 Proceedings of the ESSCIRC (ESSCIRC).

Bertan Bakkaloglu, Kailash Chandrashekar, 2009, 2009 IEEE Custom Integrated Circuits Conference.

Hyung Seok Kim, Satoshi Suzuki, Yorgos Palaskas, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Hyung Seok Kim, Ashoke Ravi, Yee William Li, 2013, IEEE Journal of Solid-State Circuits.