Dmitry V. Ponomarev

发表

Kanad Ghose, Oguz Ergin, Joseph J. Sharkey, 2006, TACO.

Gürhan Küçük, Kanad Ghose, Dmitry V. Ponomarev, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Jingjing Wang, 2014, IEEE Transactions on Parallel and Distributed Systems.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Ryan Riley, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Dmitry V. Ponomarev, 2012, 2012 IV International Congress on Ultra Modern Telecommunications and Control Systems.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Dmitry Evtyushkin, 2015, HASP@ISCA.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Lei Yu, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Jingjing Wang, 2012, 2012 ACM/IEEE/SCS 26th Workshop on Principles of Advanced and Distributed Simulation.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Jingjing Wang, 2015, ACM Trans. Model. Comput. Simul..

Joseph J. Sharkey, Dmitry V. Ponomarev, 2006, 2006 International Conference on Parallel Processing (ICPP'06).

Gürhan Küçük, Kanad Ghose, Dmitry V. Ponomarev, 2002, ICS '02.

Dmitry V. Ponomarev, Balaji Vijayn, 2008, 2008 20th International Symposium on Computer Architecture and High Performance Computing.

Dmitry V. Ponomarev, Patrick H. Madden, Jason Loew, 2010, 2010 IEEE 8th Symposium on Application Specific Processors (SASP).

Kanad Ghose, Joseph J. Sharkey, Dmitry V. Ponomarev, 2006, HiPC.

Nael B. Abu-Ghazaleh, Yi Zhang, Dmitry V. Ponomarev, 2014, SIGSIM PADS '14.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Leonid Domnitser, 2010, MMM-ACNS.

Gürhan Küçük, Dmitry V. Ponomarev, Isa Güney, 2013, Automated Scheduling and Planning.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Deepak Jagtap, 2012, 2012 ACM/IEEE/SCS 26th Workshop on Principles of Advanced and Distributed Simulation.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Dmitry Evtyushkin, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Dmitry V. Ponomarev, Jason Loew, 2008, 2008 20th International Symposium on Computer Architecture and High Performance Computing.

Joseph J. Sharkey, Dmitry V. Ponomarev, Jason Loew, 2008, TACO.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Meltem Ozsoy, 2016, IEEE Transactions on Computers.

Kanad Ghose, Oguz Ergin, Dmitry V. Ponomarev, 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Jingjing Wang, 2015, 2015 IEEE International Parallel and Distributed Processing Symposium.

Kanad Ghose, Joseph J. Sharkey, Dmitry V. Ponomarev, 2008, IEEE Transactions on Computers.

Mehmet Kayaalp, Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, 2014, IEEE Transactions on Computers.

Peter Panfilov, Dmitry V. Ponomarev, Dmitry Evtyushkin, 2011, PaCT.

Gürhan Küçük, Kanad Ghose, Dmitry V. Ponomarev, 2006, IEEE Transactions on Computers.

Joseph J. Sharkey, Dmitry V. Ponomarev, Robert J. LaDuca, 2008, 2008 20th International Symposium on Computer Architecture and High Performance Computing.

Kanad Ghose, Dmitry V. Ponomarev, Eugeny Saksonov, 2001, Euro-Par.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Kenneth Chiu, 2018, 2018 IEEE/ACM 22nd International Symposium on Distributed Simulation and Real Time Applications (DS-RT).

Kanad Ghose, Oguz Ergin, Joseph J. Sharkey, 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

Kanad Ghose, Joseph J. Sharkey, Dmitry V. Ponomarev, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Gürhan Küçük, Kanad Ghose, Oguz Ergin, 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Gürhan Küçük, Kanad Ghose, Oguz Ergin, 2004, IEEE Transactions on Computers.

Gürhan Küçük, Kanad Ghose, Oguz Ergin, 2003, IEEE Trans. Very Large Scale Integr. Syst..

Gürhan Küçük, Kanad Ghose, Oguz Ergin, 2003, PATMOS.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Iliano Cervesato, 2016, ACM Trans. Archit. Code Optim..

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Deepak Jagtap, 2012, 2012 IEEE 26th International Parallel and Distributed Processing Symposium.

Mehmet Kayaalp, Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Meltem Ozsoy, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Lei Yu, 2019, MICRO.

Hui Zeng, Kanad Ghose, Dmitry V. Ponomarev, 2009, 2009 International Conference on Parallel Processing.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Deepak Jagtap, 2010, 2010 IEEE/ACM 14th International Symposium on Distributed Simulation and Real Time Applications.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Meltem Ozsoy, 2015, RAID.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Chengyu Song, 2018, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Gürhan Küçük, Kanad Ghose, Oguz Ergin, 2004, IEEE Transactions on Computers.

Joseph J. Sharkey, Dmitry V. Ponomarev, 2007, ICS '07.

Kanad Ghose, Oguz Ergin, Dmitry V. Ponomarev, 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).

Mehmet Kayaalp, Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

Dmitry V. Ponomarev, Vladimir Krylov, Alexander Ponomarenko, 2008, CAINE.

Gürhan Küçük, Kanad Ghose, Oguz Ergin, 2003, Proceedings 21st International Conference on Computer Design.

Gürhan Küçük, Kanad Ghose, Dmitry V. Ponomarev, 2001, ISLPED '01.

Dmitry V. Ponomarev, Jesse Elwell, Patrick H. Madden, 2010, 2010 IEEE International Conference on Computer Design.

Nael B. Abu-Ghazaleh, Philip A. Wilsey, Dmitry V. Ponomarev, 2017, SIGSIM-PADS.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Jingjing Wang, 2013, SIGSIM PADS '13.

Gürhan Küçük, Kanad Ghose, Oguz Ergin, 2004, IEEE Transactions on Computers.

Joseph J. Sharkey, Dmitry V. Ponomarev, 2005, Euro-Par.

Kanad Ghose, Oguz Ergin, Dmitry V. Ponomarev, 2006, IEEE Transactions on Computers.

Dmitry V. Ponomarev, Vladimir Krylov, N. Mikhaylov, 2008, CAINE.

Kanad Ghose, Oguz Ergin, Joseph J. Sharkey, 2005, 2005 International Conference on Computer Design.

Hui Zeng, Kanad Ghose, Dmitry V. Ponomarev, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Jesse Elwell, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Meltem Ozsoy, 2011, CF '11.

Dmitry V. Ponomarev, Dmitry Evtyushkin, Dmitry Evtyushkin, 2016, CCS.

Gürhan Küçük, Kanad Ghose, Oguz Ergin, 2003, ISLPED '03.

Dmitry V. Ponomarev, Daniel Townley, D. Ponomarev, 2019, 2019 28th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Gürhan Küçük, Kanad Ghose, Oguz Ergin, 2003, 2003 12th International Conference on Parallel Architectures and Compilation Techniques.

Mehmet Kayaalp, Nael B. Abu-Ghazaleh, Aamer Jaleel, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Dmitry V. Ponomarev, Jason Loew, 2008, 2008 37th International Conference on Parallel Processing.

Dmitry V. Ponomarev, Meltem Ozsoy, Karthikeyan Dayalan, 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).

Joseph J. Sharkey, Dmitry V. Ponomarev, 2007, IEEE Transactions on Computers.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Ryan Riley, 2018, ASPLOS.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Jingjing Wang, 2013, SIGSIM PADS '13.

Dmitry V. Ponomarev, Vladimir Krylov, Alexander Ponomarenko, 2008, SEDE.

Hui Zeng, Kanad Ghose, Dmitry V. Ponomarev, 2009, ISLPED.

Gürhan Küçük, Kanad Ghose, Dmitry V. Ponomarev, 2002, PATMOS.

Kanad Ghose, Dmitry V. Ponomarev, K. Ghose, 1998, Proceedings. Fifth International Conference on High Performance Computing (Cat. No. 98EX238).

Kanad Ghose, Joseph J. Sharkey, Dmitry V. Ponomarev, 2006, 2006 International Conference on Parallel Architectures and Compilation Techniques (PACT).

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Jingjing Wang, 2012, 2012 ACM/IEEE/SCS 26th Workshop on Principles of Advanced and Distributed Simulation.

Joseph J. Sharkey, Dmitry V. Ponomarev, 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Dmitry Evtyushkin, 2016, ACM Trans. Archit. Code Optim..

Joseph J. Sharkey, Dmitry V. Ponomarev, 2005, Euro-Par.

Joseph J. Sharkey, Dmitry V. Ponomarev, Deniz Balkan, 2006, 2006 International Conference on Parallel Architectures and Compilation Techniques (PACT).

Mehmet Kayaalp, Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, 2015, IEEE Transactions on Computers.

Gürhan Küçük, Kanad Ghose, Oguz Ergin, 2003, ISLPED '03.

Dmitry V. Ponomarev, Jesse Elwell, Patrick H. Madden, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Joseph J. Sharkey, Dmitry V. Ponomarev, Aneesh Aggarwal, 2006, 2006 International Conference on Parallel Processing (ICPP'06).

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Meltem Ozsoy, 2014, IEEE Transactions on Computers.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Ryan Riley, 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

Kanad Ghose, Joseph J. Sharkey, Dmitry V. Ponomarev, 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.

Nael B. Abu-Ghazaleh, Dmitry V. Ponomarev, Ryan Riley, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Nael B. Abu-Ghazaleh, Aamer Jaleel, Dmitry V. Ponomarev, 2012, TACO.

Dmitry V. Ponomarev, Kenneth Chiu, Ali Eker, 2021, SIGSIM-PADS.

Dmitry V. Ponomarev, Kenneth Chiu, Ali Eker, 2021, ICPP.

Nandakishore Santhi, Stephan Eidenbenz, Abdel-Hameed A. Badawy, 2021, SIGSIM-PADS.