Ray C. C. Cheung

发表

Biao Min, Zhe Xu, Ray C. C. Cheung, 2017, IEEE Transactions on Circuits and Systems for Video Technology.

Biao Min, Ray C. C. Cheung, R. Cheung, 2015, IEEE Transactions on Circuits and Systems for Video Technology.

Wayne Luk, Ray C. C. Cheung, Chi Wai Yu, 2011, 2011 International Conference on Field-Programmable Technology.

Yan Han, Theodore W. Berger, Ray C. C. Cheung, 2018, Neural Computation.

Theodore W. Berger, Ray C. C. Cheung, Dong Song, 2011, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Tim Güneysu, Ray C. C. Cheung, Jingwei Hu, 2017, IEEE Transactions on Circuits and Systems II: Express Briefs.

Wayne Luk, Ray C. C. Cheung, Dong-U Lee, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wayne Luk, Ray C. C. Cheung, Dong-U Lee, 2008, IEEE Transactions on Computers.

Ingrid Verbauwhede, Ray C. C. Cheung, Junfeng Fan, 2012, Pairing.

Ray C. C. Cheung, Xian Yang, R. Cheung, 2014, 2014 International Conference on Field-Programmable Technology (FPT).

Hong Yan, Ray C. C. Cheung, Chi Wai Yu, 2012, Pattern Recognit. Lett..

Theodore W. Berger, Ray C. C. Cheung, Dong Song, 2014, 2014 36th Annual International Conference of the IEEE Engineering in Medicine and Biology Society.

Theodore W. Berger, Ray C. C. Cheung, Dong Song, 2011, 2011 IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines.

Yu-Liang Wu, Ray C. C. Cheung, Jiping Liu, 2006, IEEE Transactions on Computers.

Ray C. C. Cheung, Çetin Kaya Koç, Wangchen Dai, 2017, IEEE Transactions on Computers.

Ray C. C. Cheung, Jingwei Hu, Huaxiong Wang, 2020, IEEE Transactions on Circuits and Systems I: Regular Papers.

Hong Yan, Biao Min, Ray C. C. Cheung, 2019, Microprocess. Microsystems.

Wayne Luk, Philip Heng Wai Leong, Ray C. C. Cheung, 2005, International Conference on Field Programmable Logic and Applications, 2005..

Wayne Luk, Ray C. C. Cheung, Oskar Mencer, 2007, 2007 International Conference on Field Programmable Logic and Applications.

Ray C. C. Cheung, Çetin Kaya Koç, Gavin Xiaoxu Yao, 2012, 2012 International Conference on Field-Programmable Technology.

Han Yan, Ray C. C. Cheung, Chen Yaya, 2016, 2016 13th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT).

Zahid Ullah, Ray C. C. Cheung, Y. C. Chan, 2012, 2012 IEEE 26th International Parallel and Distributed Processing Symposium Workshops & PhD Forum.

Wei Zhang, Theodore W. Berger, Ray C. C. Cheung, 2013 .

Ray C. C. Cheung, Liu Qiushi, Sun Yihang, 2013 .

Kim-Fung Man, Ray C. C. Cheung, Çetin Kaya Koç, 2010, 2010 International Conference on Field-Programmable Technology.

Frederik Vercauteren, Ingrid Verbauwhede, Nele Mentens, 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.

Hong Yan, Biao Min, Ray C. C. Cheung, 2013, IEEE Transactions on Circuits and Systems II: Express Briefs.

Theodore W. Berger, Ray C. C. Cheung, Dong Song, 2014, 2014 International Symposium on Integrated Circuits (ISIC).

Chao Wang, Ray C. C. Cheung, Xi Li, 2014, FPGA.

Yan Han, Hao Luo, Ray C. C. Cheung, 2012, IET Circuits Devices Syst..

Hong Yan, Ray C. C. Cheung, Yao Xin, 2014, Neurocomputing.

Theodore W. Berger, Ray C. C. Cheung, Dong Song, 2013, IEEE Transactions on Biomedical Circuits and Systems.

Wayne Luk, Ray C. C. Cheung, Peter Y. K. Cheung, 2004, Proceedings. 2004 IEEE International Conference on Field- Programmable Technology (IEEE Cat. No.04EX921).

Biao Min, Ray C. C. Cheung, Ting-Fung Chan, 2013, Microelectron. J..

Theodore W. Berger, Ray C. C. Cheung, Dong Song, 2015, IEEE/ACM Transactions on Computational Biology and Bioinformatics.

Ray C. C. Cheung, Huapeng Wu, Wangchen Dai, 2014, 2014 International Symposium on Integrated Circuits (ISIC).

Theodore W. Berger, Dong Song, Ray C. C. Cheung, 2011, 2011 Annual International Conference of the IEEE Engineering in Medicine and Biology Society.

Ray C. C. Cheung, Muhammad Irfan, Zahid Ullah, 2019, 2019 IEEE 30th International Conference on Application-specific Systems, Architectures and Processors (ASAP).

Yu-Liang Wu, Ray C. C. Cheung, Hongbing Fan, 2013, Comput. J..

Ray C. C. Cheung, Yao Liu, Hei Wong, 2017, IEEE Transactions on Circuits and Systems I: Regular Papers.

Wayne Luk, Ray C. C. Cheung, Dong-U Lee, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Chao Wang, Peng Chen, Ray C. C. Cheung, 2015, IEEE Transactions on Computers.

Yan Han, Biao Min, Ray C. C. Cheung, 2013, 2013 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC).

Jizeng Wei, Wei Guo, Ray C. C. Cheung, 2015, IEEE Transactions on Circuits and Systems II: Express Briefs.

Ray C. C. Cheung, Muhammad Irfan, Mehdi Hasan Chowdhury, 2020, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ray C. C. Cheung, Benben Liu, Alan W. Y. Lo, 2012, ICONIP.

Yan Han, Hao Luo, Ray C. C. Cheung, 2013, IEEE Journal of Solid-State Circuits.

Wayne Luk, Ray C. C. Cheung, Nicolas Telle, 2004, SAMOS.

Ray C. C. Cheung, Jingwei Hu, 2017, IEEE Transactions on Circuits and Systems I: Regular Papers.

Wayne Luk, Ray C. C. Cheung, Oskar Mencer, 2007, 2007 International Conference on Field-Programmable Technology.

Dawu Gu, Ray C. C. Cheung, Haihua Gu, 2015, Cryptologia.

Ingrid Verbauwhede, Ray C. C. Cheung, Junfeng Fan, 2014, IEEE Transactions on Computers.

Zahid Ullah, Ray C. C. Cheung, Muhammad Irfan, 2019, 2019 15th International Conference on Mobile Ad-Hoc and Sensor Networks (MSN).

Ray C. C. Cheung, John D. Villasenor, Çetin Kaya Koç, 2009, 2009 20th IEEE International Conference on Application-specific Systems, Architectures and Processors.

Ray C. C. Cheung, Gavin Xiaoxu Yao, 2006 .

Ray C. C. Cheung, Hei Wong, Yao Liu, 2018, 2018 28th International Conference on Field Programmable Logic and Applications (FPL).

Theodore W. Berger, Ray C. C. Cheung, Dong Song, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Zahid Ullah, Ray C. C. Cheung, Manish Kumar Jaiswal, 2013, 2013 23rd International Conference on Field programmable Logic and Applications.

Zahid Ullah, Ray C. C. Cheung, Manish Kumar Jaiswal, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kong-Pang Pun, Philip Heng Wai Leong, Steve C. L. Yuen, 2003, Proceedings. 2003 IEEE International Conference on Field-Programmable Technology (FPT) (IEEE Cat. No.03EX798).

Kit-Hang Lee, Danail Stoyanov, Ray C. C. Cheung, 2016, Front. Robot. AI.

Wayne Luk, Ray C. C. Cheung, Peter Y. K. Cheung, 2005, CASES '05.

Ray C. C. Cheung, Derek Chi-Wai Pao, Çetin Kaya Koç, 2016, IEEE Transactions on Computers.

Yan Han, Hao Luo, Ray C. C. Cheung, 2013, Science China Information Sciences.

Ingrid Verbauwhede, Ray C. C. Cheung, Sylvain Duquesne, 2011, CHES.

Ray C. C. Cheung, Hayden Kwok-Hay So, M. Balakrishnan, 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.

Zhe Xu, Biao Min, Ray C. C. Cheung, 2018, Signal Process. Image Commun..

Ray C. C. Cheung, Luo Hao, Han Yan, 2010, 2010 Asia Pacific Conference on Postgraduate Research in Microelectronics and Electronics (PrimeAsia).

Ray C. C. Cheung, Manish Kumar Jaiswal, 2013, Circuits Syst. Signal Process..

Zahid Ullah, Ray C. C. Cheung, Manish Kumar Jaiswal, 2014, Circuits Syst. Signal Process..

Ray C. C. Cheung, Marc Stöttinger, Gavin Xiaoxu Yao, 2014, 2014 International Symposium on Integrated Circuits (ISIC).

Ray C. C. Cheung, Mehdi Hasan Chowdhury, R. Cheung, 2019, Scientific Reports.

Zhe Xu, Biao Min, Ray C. C. Cheung, 2017, 2017 International Conference on Systems, Signals and Image Processing (IWSSIP).

Ingrid Verbauwhede, Ray C. C. Cheung, Junfeng Fan, 2011, IACR Cryptol. ePrint Arch..

Hong Yan, Ray C. C. Cheung, Chi Wai Yu, 2014, IEEE Transactions on Parallel and Distributed Systems.

Wayne Luk, Ray C. C. Cheung, Peter Y. K. Cheung, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Zhe Xu, Biao Min, Ray C. C. Cheung, 2018, Signal Process. Image Commun..

Yongqiang Lyu, Qiang Zhou, Gang Qu, 2013, 2013 23rd International Conference on Field programmable Logic and Applications.

Theodore W. Berger, Ray C. C. Cheung, Dong Song, 2011, 2011 21st International Conference on Field Programmable Logic and Applications.

Ray C. C. Cheung, Manish Kumar Jaiswal, 2012, 2012 IEEE 26th International Parallel and Distributed Processing Symposium Workshops & PhD Forum.

Masahiro Fujita, Takeshi Matsumoto, Ray C. C. Cheung, 2013, 2013 International Conference on Field-Programmable Technology (FPT).

Zahid Ullah, Ray C. C. Cheung, Muhammad Irfan, 2019, Electronics.

Hong Yan, Biao Min, Ray C. C. Cheung, 2019, Microelectron. J..

Ray C. C. Cheung, Manish Kumar Jaiswal, 2012, 2012 IEEE 20th International Symposium on Field-Programmable Custom Computing Machines.

Ray C. C. Cheung, Dong-U Lee, John D. Villasenor, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Sze-Chun Chan, Ray C. C. Cheung, Yao Liu, 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.

Ray C. C. Cheung, Wangchen Dai, R. Cheung, 2018, Journal of Cryptographic Engineering.

Zahid Ullah, Ray C. C. Cheung, Muhammad Irfan, 2019, IEEE Access.

Kim-Fung Man, Ray C. C. Cheung, Gavin Xiaoxu Yao, 2010, Proceedings of 2010 21st IEEE International Symposium on Rapid System Protyping.

Wayne Luk, Ray C. C. Cheung, Peter Y. K. Cheung, 2005, Design, Automation and Test in Europe.

Andrew Chi-Sing Leung, John Sum, Ray C. C. Cheung, 2013, Neural Computing and Applications.

Ray C. C. Cheung, Yao Liu, Zhenya Zang, 2019, 2019 IEEE International Conference on Industrial Technology (ICIT).

Hong Yan, Biao Min, Ray C. C. Cheung, 2013, 2013 International Conference on Machine Learning and Cybernetics.

Ray C. C. Cheung, Chi Hou Chan, Haifeng Zhou, 2013 .

Yan Han, Hao Xu, Ray C. C. Cheung, 2019, Journal of Neuroscience Methods.

Theodore W. Berger, Ray C. C. Cheung, Dong Song, 2012, 2012 Annual International Conference of the IEEE Engineering in Medicine and Biology Society.

Zahid Ullah, Ray C. C. Cheung, Hayden Kwok-Hay So, 2015, TENCON 2015 - 2015 IEEE Region 10 Conference.

Shifeng Zhang, Yan Han, Qian Zhou, 2016, Science China Information Sciences.

Jizeng Wei, Ray C. C. Cheung, Wei Guo, 2013, IEICE Electron. Express.

Ray C. C. Cheung, Sahar Elyahoodayan, Dong Song, 2020, Electronics.

Wayne Luk, Philip Heng Wai Leong, Ray C. C. Cheung, 2005, Proceedings. 2005 IEEE International Conference on Field-Programmable Technology, 2005..

Dawu Gu, Ray C. C. Cheung, Haihua Gu, 2013 .

Ray C. C. Cheung, Jingwei Hu, R. Cheung, 2017, IEEE Transactions on Computers.

Zahid Ullah, Ray C. C. Cheung, Muhammad Irfan, 2019, ITCC 2019.

Ruby B. Lee, Jakub Szefer, Ray C. C. Cheung, 2011, 2011 22nd IEEE International Symposium on Rapid System Prototyping.

Ray C. C. Cheung, Çetin Kaya Koç, Wangchen Dai, 2018, IEEE Transactions on Computers.

Hua Li, Chun Zhang, Pengfei Zhu, 2012, 2012 IEEE International Symposium on Circuits and Systems.

Shifeng Zhang, Yan Han, Ray C. C. Cheung, 2017, 2017 International SoC Design Conference (ISOCC).

Chao Wang, Peng Chen, Ray C. C. Cheung, 2014, 2014 International Symposium on Integrated Circuits (ISIC).

Wayne Luk, Ray C. C. Cheung, Dong-U Lee, 2006, 2006 IEEE International Conference on Field Programmable Technology.

Yongqiang Lyu, Qiang Zhou, Yaping Lin, 2013, 2013 IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines.

Yan Han, Hao Luo, Feng Gao, 2013, J. Circuits Syst. Comput..

Ray C. C. Cheung, Derek Chi-Wai Pao, Nga Lam Or, 2013, Comput. Commun..

Ray C. C. Cheung, Kolin Paul, Manish Kumar Jaiswal, 2014, 2014 IEEE Computer Society Annual Symposium on VLSI.

Ray C. C. Cheung, M. Balakrishnan, Kolin Paul, 2014, Circuits Syst. Signal Process..

Hong Yan, Long Chen, Leanne Lai Hang Chan, 2019, IEEE Transactions on Systems, Man, and Cybernetics: Systems.

Ray C. C. Cheung, Mehdi Hasan Chowdhury, Abdurrashid Ibrahim Sanka, 2021, Comput. Commun..

Chenglong Zeng, Ray C. C. Cheung, Shun Yan, 2021, 2021 31st International Conference on Field-Programmable Logic and Applications (FPL).

Ray C. C. Cheung, Hong Yan, Wei-Pei Huang, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Ray C. C. Cheung, John D. Villasenor, 2009 .