Monique J. Beenhakkers

发表

Wim Dehaene, Kris Myny, Gerwin H. Gelinck, 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

Wim Dehaene, Kris Myny, Steve Smout, 2011 .

Wim Dehaene, Kris Myny, Gerwin H. Gelinck, 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

Wim Dehaene, Kris Myny, Steve Smout, 2010 .

Kris Myny, Soeren Steudel, Gerwin H. Gelinck, 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

Wim Dehaene, Kris Myny, Gerwin H. Gelinck, 2011, IEEE Journal of Solid-State Circuits.

Wim Dehaene, Kris Myny, Steve Smout, 2013 .

Wim Dehaene, Kris Myny, Soeren Steudel, 2013 .