Srinivasan Murali

发表

Stéphane Badel, Yusuf Leblebici, Srinivasan Murali, 2007, SLIP '07.

Srinivasan Murali, Giovanni De Micheli, Paolo Meloni, 2006, 2006 1st International Conference on Nano-Networks and Workshops.

Srinivasan Murali, David Atienza, Grégoire Surrel, 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Luca Benini, Srinivasan Murali, M. Pawan Kumar, 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.

Luca Benini, Dara Rahmati, Srinivasan Murali, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Luca Benini, Narayanan Vijaykrishnan, Mary Jane Irwin, 2005, IEEE Design & Test of Computers.

Srinivasan Murali, David Atienza, Francisco J. Rincón, 2015, 2015 Computing in Cardiology Conference (CinC).

Luca Benini, Srinivasan Murali, Federico Angiolini, 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

Luca Benini, Srinivasan Murali, David Atienza, 2009, CODES+ISSS '09.

Srinivasan Murali, David Atienza, Francisco J. Rincón, 2015, 2015 Euromicro Conference on Digital System Design.

Srinivasan Murali, Giovanni De Micheli, Rutuparna Tamhankar, 2005, ASP-DAC.

Luca Benini, Srinivasan Murali, Giovanni De Micheli, 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.

Luca Benini, Srinivasan Murali, Federico Angiolini, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Srinivasan Murali, Giovanni De Micheli, 2005, Design, Automation and Test in Europe.

Srinivasan Murali, David Atienza, Dionisije Sopic, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Srinivasan Murali, Giovanni De Micheli, Kees G. W. Goossens, 2006, Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).

Luca Benini, Srinivasan Murali, Giovanni De Micheli, 2009, 2009 Asia and South Pacific Design Automation Conference.

Srinivasan Murali, Giovanni De Micheli, Kees G. W. Goossens, 2006, Asia and South Pacific Conference on Design Automation, 2006..

Luca Benini, Srinivasan Murali, Giovanni De Micheli, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Srinivasan Murali, Giovanni De Micheli, Kees G. W. Goossens, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Luca Benini, Srinivasan Murali, Federico Angiolini, 2006, 2006 IFIP International Conference on Very Large Scale Integration.

Luca Benini, Srinivasan Murali, Giovanni De Micheli, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Srinivasan Murali, Giovanni De Micheli, 2004, Proceedings. 41st Design Automation Conference, 2004..

Luca Benini, Srinivasan Murali, Giovanni De Micheli, 2009, DATE.

Srinivasan Murali, S. Murali, 2009, Lecture Notes in Electrical Engineering.

Luca Benini, Srinivasan Murali, Giovanni De Micheli, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Srinivasan Murali, David Atienza, Grégoire Surrel, 2015, 2015 16th Latin-American Test Symposium (LATS).

Stephen P. Boyd, Srinivasan Murali, Giovanni De Micheli, 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.

Luca Benini, Srinivasan Murali, Giovanni De Micheli, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Luca Benini, Srinivasan Murali, Federico Angiolini, 2006, VLSI-SoC.

Srinivasan Murali, Federico Angiolini, Giovanni De Micheli, 2007 .

Srinivasan Murali, Giovanni De Micheli, G. Micheli, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Luca Benini, Srinivasan Murali, Giovanni De Micheli, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Srinivasan Murali, 2009 .

Luca Benini, Srinivasan Murali, Giovanni De Micheli, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Luca Benini, V. Kamakoti, Srinivasan Murali, 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.

Luca Benini, Srinivasan Murali, Federico Angiolini, 2006, 2006 International Conference on Computer Design.

Luca Benini, Srinivasan Murali, Federico Angiolini, 2007, First International Symposium on Networks-on-Chip (NOCS'07).

Srinivasan Murali, David Atienza, Grégoire Surrel, 2015, 2015 Computing in Cardiology Conference (CinC).

Luca Benini, Srinivasan Murali, Giovanni De Micheli, 2011, 3D Integration for NoC-based SoC Architectures.

Luca Benini, Srinivasan Murali, Giovanni De Micheli, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Luca Benini, Stephen P. Boyd, Srinivasan Murali, 2008, 2008 Design, Automation and Test in Europe.

Luca Benini, Srinivasan Murali, Federico Angiolini, 2006, 2006 International Symposium on System-on-Chip.

Luca Benini, Srinivasan Murali, Giovanni De Micheli, 2011, Low Power Networks-on-Chip.

Srinivasan Murali, David Atienza, Francisco J. Rincón, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Srinivasan Murali, Federico Angiolini, Stergios Stergiou, 2007 .

Luca Benini, Srinivasan Murali, Federico Angiolini, 2008, Integr..

Luca Benini, Dara Rahmati, Srinivasan Murali, 2013, TECS.

Srinivasan Murali, David Atienza, Francisco J. Rincón, 2016, 2016 Euromicro Conference on Digital System Design (DSD).

Luca Benini, Srinivasan Murali, Giovanni De Micheli, 2010, IEEE Transactions on Circuits and Systems II: Express Briefs.

Stephen P. Boyd, Srinivasan Murali, Giovanni De Micheli, 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Srinivasan Murali, David Atienza, Francisco J. Rincón, 2016, Microprocess. Microsystems.

Srinivasan Murali, Federico Angiolini, Giovanni De Micheli, 2006 .

Luca Benini, Srinivasan Murali, Federico Angiolini, 2010, Design Automation Conference.

Srinivasan Murali, Federico Angiolini, 2018 .

Kamakoti Veezhinathan, Srinivasan Murali, M. Pawan Kumar, 2012 .

Luca Benini, Srinivasan Murali, Federico Angiolini, 2007, IEEE Micro.

Srinivasan Murali, David Atienza, Francisco J. Rincón, 2015, 2015 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC).

Luca Benini, Srinivasan Murali, Giovanni De Micheli, 2005, IEEE Transactions on Parallel and Distributed Systems.

Luca Benini, Srinivasan Murali, Federico Angiolini, 2009, 2009 IEEE International SOC Conference (SOCC).

Luca Benini, V. Kamakoti, Srinivasan Murali, 2012, J. Electr. Comput. Eng..

Srinivasan Murali, David Atienza, Amir Aminifar, 2018, IEEE Transactions on Biomedical Circuits and Systems.

Luca Benini, Srinivasan Murali, Giovanni De Micheli, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Srinivasan Murali, Giovanni De Micheli, Stephen Boyd, 2007 .