Thierry Tambe

发表

Gu-Yeon Wei, D. Brooks, Abdulrahman Mahmoud, 2022, 2022 52nd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Alexander M. Rush, Glenn G. Ko, Gu-Yeon Wei, 2023, IEEE Journal of Solid-State Circuits.

Alexander M. Rush, Gu-Yeon Wei, David Brooks, 2019, 2019 28th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Alexander Rush, Alexander M. Rush, Gu-Yeon Wei, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Alexander M. Rush, Gu-Yeon Wei, D. Brooks, 2020, ArXiv.

Alexander M. Rush, David Brooks, Paul N. Whatmough, 2020, MICRO.

Srivatsan Krishnan, Vijay Janapa Reddi, Thierry Tambe, 2021, ArXiv.

Alexander M. Rush, Kenneth E. Shepard, Gu-Yeon Wei, 2023, IEEE International Solid-State Circuits Conference.

Gus Henry Smith, S. Malik, Zachary Tatlock, 2022, ACM Trans. Design Autom. Electr. Syst..