Ondrej Novák

发表

Martin Rozkovec, Jiri Jenícek, Ondrej Novák, 2010, 2010 IEEE 16th International On-Line Testing Symposium.

C. P. Ravikumar, Erik Jan Marinissen, Bashir M. Al-Hashimi, 2006, IEEE Design & Test of Computers.

Martin Rozkovec, Ondrej Novák, Tomas Drahonovsky, 2013, 2013 IEEE 16th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS).

Martin Rozkovec, Jiri Jenícek, Ondrej Novák, 2017, J. Circuits Syst. Comput..

Martin Rozkovec, Jiri Jenícek, Ondrej Novák, 2013, 2013 IEEE 16th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS).

Ondrej Novák, Richard B. Brown, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Martin Rozkovec, Jiri Jenícek, Ondrej Novák, 2016, 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS).

Martin Rozkovec, Jiri Jenícek, Ondrej Novák, 2010, 2010 13th Euromicro Conference on Digital System Design: Architectures, Methods and Tools.

Martin Rozkovec, Ondrej Novák, Tomas Drahonovsky, 2014, 2014 International Conference on ReConFigurable Computing and FPGAs (ReConFig14).

Ondrej Novák, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Ondrej Novák, Zdenek Plíva, 2017, 2017 IEEE 20th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS).

Ondrej Novák, Zdenek Plíva, Jirí Zahrádka, 2005, EDCC.

Ondrej Novák, Leos Kafka, 2006, 2006 IEEE Design and Diagnostics of Electronic Circuits and systems.

Ondrej Novák, Martin Stáva, 2006, 9th EUROMICRO Conference on Digital System Design (DSD'06).

Jiri Jenícek, Ondrej Novák, 2010, 2010 East-West Design & Test Symposium (EWDTS).

Ondrej Novák, Martin Stáva, 2006, 2006 IEEE Design and Diagnostics of Electronic Circuits and systems.

Jiri Jenícek, Ondrej Novák, Martin Chloupek, 2012, 2012 IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS).

Jiri Jenícek, Ondrej Novák, Martin Chloupek, 2011, 2011 9th East-West Design & Test Symposium (EWDTS).

Ondrej Novák, 2017, 2017 22nd IEEE European Test Symposium (ETS).

Martin Rozkovec, Jiri Jenícek, Ondrej Novák, 2011, 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems.

Jiri Jenícek, Ondrej Novák, 2007, 2007 IEEE Design and Diagnostics of Electronic Circuits and Systems.

Ondrej Novák, Jiri Nosek, 2000, Proceedings 6th IEEE International On-Line Testing Workshop (Cat. No.PR00646).

Martin Rozkovec, Jiri Jenícek, Ondrej Novák, 2015, 2015 IEEE 18th International Symposium on Design and Diagnostics of Electronic Circuits & Systems.

Ondrej Novák, Zdenek Plíva, 2018, Microelectron. Reliab..

Ondrej Novák, Jiri Nosek, 2001, Proceedings 2001 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Ondrej Novák, Jiri Nosek, 2001, Proceedings Seventh International On-Line Testing Workshop.

Martin Rozkovec, Jiri Jenícek, Ondrej Novák, 2014, 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems.

Martin Rozkovec, Jiri Jenícek, Ondrej Novák, 2015, 2015 16th Latin-American Test Symposium (LATS).

Martin Rozkovec, Ondrej Novák, 2009, 2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems.

Jiri Jenícek, Ondrej Novák, Zbynek Mader, 2006, 2006 21st IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Martin Rozkovec, Jiri Jenícek, Ondrej Novák, 2016, 2016 Euromicro Conference on Digital System Design (DSD).

Ondrej Novák, Josef Syka, Jakub Tomek, 2015, Journal of Computational Neuroscience.

Martin Rozkovec, Jiri Jenícek, Ondrej Novák, 2012, 2012 IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS).

Ondrej Novák, Jan Hlavicka, P. Golan, 1988, IEEE Trans. Computers.

Ondrej Novák, Dirk Inzé, Veronique Storme, 2017, Nature Communications.