Le Chang

发表

Swagath Venkataramani, Vijayalakshmi Srinivasan, Shubham Jain, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Swagath Venkataramani, Vijayalakshmi Srinivasan, Shubham Jain, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Kaoutar El Maghraoui, Chia-Yu Chen, Naigang Wang, 2022, NeurIPS.

Joel Silberman, Swagath Venkataramani, Vijayalakshmi Srinivasan, 2022, IEEE Journal of Solid-State Circuits.