Li-Wen Chang

发表

Jie Lv, Li-Wen Chang, 2017 .

Juan Gómez-Luna, José María González-Linares, Nicolás Guil Mata, 2016, IEEE Transactions on Parallel and Distributed Systems.

Hee-Seok Kim, Wen-mei W. Hwu, Li-Wen Chang, 2016, ASPLOS.

Juan Gómez-Luna, Nicolás Guil Mata, Wen-mei W. Hwu, 2015, 2015 44th International Conference on Parallel Processing.

Xuhao Chen, Zhiying Wang, Wen-mei W. Hwu, 2014, MES '14.

Geng Liu, Wen-mei W. Hwu, John A. Stratton, 2012, Computer.

Hee-Seok Kim, Wen-mei W. Hwu, John A. Stratton, 2012, 2012 International Conference for High Performance Computing, Networking, Storage and Analysis.

Pao-Ann Hsiung, Sao-Jie Chen, Chih-Sheng Lin, 2011, 2011 7th International Conference on Wireless Communications, Networking and Mobile Computing.

Juan Gómez-Luna, Wen-mei W. Hwu, Izzat El Hajj, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Dejan S. Milojicic, Juan Gómez-Luna, Wen-mei W. Hwu, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Homer H. Chen, Yi-Hao Kao, Chia-Kai Liang, 2007, 2007 IEEE International Conference on Acoustics, Speech and Signal Processing - ICASSP '07.

Jan-Ray Liao, Li-Wen Chang, 2020, J. Electronic Imaging.

Hee-Seok Kim, Wen-mei W. Hwu, Shengzhao Wu, 2011, 2011 International Conference on Parallel Processing.

Antonio J. Peña, Thomas B. Jablin, Juan Gómez-Luna, 2017, 2017 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

José L. Abellán, Juan Gómez-Luna, Wen-mei W. Hwu, 2018, J. Parallel Distributed Comput..

Homer H. Chen, Chia-Kai Liang, Li-Wen Chang, 2008, IEEE Transactions on Image Processing.

Juan Gómez-Luna, Wen-mei W. Hwu, Izzat El Hajj, 2016, PPoPP.

Wen-mei W. Hwu, Abdul Dakkak, Christopher I. Rodrigues, 2015 .

Wen-mei W. Hwu, Li-Wen Chang, Li-Wen Chang, 2014, Numerical Computations with GPUs.

Deming Chen, Juan Gómez-Luna, Wen-mei W. Hwu, 2017, ICPE.

Norden E. Huang, Ke-Hsin Hsu, Men-Tzung Lo, 2011, 2011 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Hee-Seok Kim, Wen-Mei Hwu, Abdul Dakkak, 2015, 2015 Computational Electromagnetics International Workshop (CEM).

Wen-mei W. Hwu, John A. Stratton, Christopher I. Rodrigues, 2012 .

Xuhao Chen, Zhiying Wang, Wen-mei W. Hwu, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.