Jianwei Li

发表

Ying Liu, Wei-keng Liao, Alok N. Choudhary, 2007, Handbook of Parallel Computing.

Jianwei Li, Wei-keng Liao, Alok Choudhary, 2003, ACM/IEEE SC 2003 Conference (SC'03).

Robert Latham, Robert B. Ross, Rajeev Thakur, 2003, ArXiv.

Ying Liu, Wei-keng Liao, Alok N. Choudhary, 2010, Int. J. Inf. Technol. Decis. Mak..

Nan Jiang, Wei-keng Liao, Alok N. Choudhary, 2006, SDM.

Wei-keng Liao, Alok N. Choudhary, Jianwei Li, 2007, Handbook of Parallel Computing.

Wei-keng Liao, Alok N. Choudhary, Jianwei Li, 2002, Proceedings. IEEE International Conference on Cluster Computing.