Stefan Hadjis

发表

Kunle Olukotun, Christoforos E. Kozyrakis, Yaqi Zhang, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Hiroyuki Tomiyama, Jason Helge Anderson, Yuko Hara-Azumi, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Kunle Olukotun, Christoforos E. Kozyrakis, Yaqi Zhang, 2018, PLDI.

Kunle Olukotun, Stefan Hadjis, K. Olukotun, 2019, 2019 29th International Conference on Field Programmable Logic and Applications (FPL).

Christopher R'e, Ce Zhang, Firas Abuzaid, 2015, DanaC@SIGMOD.

Ioannis Mitliagkas, Christopher Ré, Ce Zhang, 2016, 2016 54th Annual Allerton Conference on Communication, Control, and Computing (Allerton).

Ioannis Mitliagkas, Christopher Ré, Ce Zhang, 2016, ArXiv.

Kunle Olukotun, Christoforos E. Kozyrakis, Yaqi Zhang, 2018, IEEE Micro.

Jason Helge Anderson, Stephen Dean Brown, Tomasz S. Czajkowski, 2012, FPGA '12.

Yu Ting Chen, Mathew Hall, Jason Helge Anderson, 2014, 2014 12th IEEE International Conference on Embedded and Ubiquitous Computing.