Robert P. Dick

发表

Robert P. Dick, R. Dick, 2008, Encyclopedia of Algorithms.

Li Shang, Marilyn Wolf, Robert P. Dick, 2020, IEEE Design & Test.

Xi Chen, Peter Scheuermann, Robert P. Dick, 2009, UIC.

Li Shang, Robert P. Dick, Yonghong Yang, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ying Zhang, Krishnendu Chakrabarty, Robert P. Dick, 2004, Proceedings. 41st Design Automation Conference, 2004..

Niraj K. Jha, Robert P. Dick, N. Jha, 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.

Russ Joseph, Robert P. Dick, Xuejing He, 2015, 2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES).

Robert P. Dick, Ekdeep Singh Lubana, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Robert P. Dick, David R. Bild, Gregory E. Bok, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Niraj K. Jha, Robert P. Dick, 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Li Shang, Prabhat Kumar, Alok N. Choudhary, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Li Shang, Robert P. Dick, Jia Wang, 2006, Asia and South Pacific Conference on Design Automation, 2006..

Ruoming Jin, Peter Scheuermann, Goce Trajcevski, 2012, Personal and Ubiquitous Computing.

Li Shang, Robert P. Dick, Zhenyu Gu, 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Xiaobo Sharon Hu, Robert P. Dick, Thidapat Chantem, 2011, IEEE Trans. Very Large Scale Integr. Syst..

Li Shang, David M. Brooks, Russ Joseph, 2007, IEEE Micro.

Xi Chen, Zhuoqing Morley Mao, Robert P. Dick, 2010, 2010 IEEE International Symposium on Performance Analysis of Systems & Software (ISPASS).

Xi Chen, Robert P. Dick, Chi Xu, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Niraj K. Jha, Robert P. Dick, N. Jha, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Peter A. Dinda, Robert P. Dick, Gokhan Memik, 2009, UbiComp.

Junlong Zhou, Shige Wang, X. Sharon Hu, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Robert P. Dick, Ai-Hsin Liu, 2006, Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '06).

Kun Li, Li Shang, Lei Tian, 2011, UbiComp '11.

Xiaobo Sharon Hu, Robert P. Dick, Xiang Yun, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Xi Chen, Lei Yang, Li Shang, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Li Shang, Nikil D. Dutt, Robert P. Dick, 2013, TECS.

Xiaobo Sharon Hu, Robert P. Dick, Thidapat Chantem, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Xiaobo Sharon Hu, Yue Ma, Robert P. Dick, 2015, ACM Great Lakes Symposium on VLSI.

Lei Yang, Lide Zhang, Zhuoqing Morley Mao, 2010, 2010 IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Peter A. Dinda, Robert P. Dick, Bin Lin, 2006, IEEE Computer Architecture Letters.

Marilyn Wolf, Robert P. Dick, Shao-Wen Yang, 2020, IEEE Des. Test.

Li Shang, Robert P. Dick, Changyun Zhu, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Li Shang, Robert P. Dick, Zhenyu Gu, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Li Shang, Niraj K. Jha, Robert P. Dick, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kun Li, James Williamson, Li Shang, 2017, IEEE Transactions on Mobile Computing.

Li Shang, Robert P. Dick, Qin Lv, 2013, 2013 IEEE International Conference on Distributed Computing in Sensor Systems.

Xiaobo Sharon Hu, Robert P. Dick, Thidapat Chantem, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Peter A. Dinda, Robert P. Dick, Gokhan Memik, 2008, ASPLOS.

Wayne H. Wolf, Robert P. Dick, David L. Rhodes, 1998, Proceedings of the Sixth International Workshop on Hardware/Software Codesign. (CODES/CASHE'98).

Robert P. Dick, Pyari Mohan Pradhan, Ekdeep Singh Lubana, 2019, 2019 IEEE International Conference on Image Processing (ICIP).

Prithviraj Banerjee, Robert P. Dick, Gaurav Mittal, 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

Li Shang, Ke Meng, Russ Joseph, 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

Peter Scheuermann, Goce Trajcevski, Robert P. Dick, 2010, MobiQuitous.

Robert P. Dick, Ekdeep Singh Lubana, 2020, ArXiv.

Peter A. Dinda, Robert P. Dick, Bin Lin, 2007, ExpCS '07.

Li Shang, Robert P. Dick, Nicholas Allec, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Prithviraj Banerjee, Robert P. Dick, Gaurav Mittal, 2005, LCPC.

Xi Chen, Zhuoqing Morley Mao, Robert P. Dick, 2010, Design Automation Conference.

Lei Yang, Peter A. Dinda, Mark S. Gordon, 2012, CODES+ISSS.

Peter A. Dinda, Robert P. Dick, Bin Lin, 2007, SIGMETRICS '07.

Li Shang, Hai Zhou, Qiang Zhou, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Prabhat Kumar, Alok Choudhary, Robert P. Dick, 2008, ICCAD 2008.

Yue Liu, Dan S. Wallach, Zhuoqing Morley Mao, 2014, IEEE Transactions on Mobile Computing.

Robert P. Dick, R. Dick, 2016, Encyclopedia of Algorithms.

Li Shang, Robert P. Dick, Chong Sun, 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Kun Li, Li Shang, Robert P. Dick, 2009, MobiSys '09.

Peter A. Dinda, Robert P. Dick, Lan S. Bai, 2009, 2009 International Conference on Information Processing in Sensor Networks.

Kun Li, Li Shang, Robert P. Dick, 2013, Personal and Ubiquitous Computing.

Li Shang, Xiaobo Sharon Hu, Robert P. Dick, 2010, 2010 IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Lei Yang, Robert P. Dick, R. Dick, 2008 .

Li Shang, Robert P. Dick, Qin Lv, 2012, 2012 ACM/IEEE 11th International Conference on Information Processing in Sensor Networks (IPSN).

Peter Scheuermann, Goce Trajcevski, Robert P. Dick, 2011, PECCS.

Lei Yang, Robert P. Dick, Haris Lekatsas, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Xi Chen, Lei Yang, Li Shang, 2008, Data Compression Conference (dcc 2008).

Niraj K. Jha, Robert P. Dick, Li Shang, 2007 .

Niraj K. Jha, Robert P. Dick, N. Jha, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Peter A. Dinda, Robert P. Dick, Bin Lin, 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.

Yue Liu, Dan S. Wallach, Zhuoqing Morley Mao, 2014, ArXiv.

Goce Trajcevski, Peter Scheuermann, Robert P. Dick, 2010, Mobiquitous 2010.

Peter A. Dinda, Pai H. Chou, Robert P. Dick, 2011, 2011 Design, Automation & Test in Europe.

Xiaobo Sharon Hu, Robert P. Dick, Thidapat Chantem, 2009, ISLPED.

Peter A. Dinda, Berkin Özisikyilmaz, Alok N. Choudhary, 2008, 2008 International Symposium on Computer Architecture.

Lei Yang, Peter A. Dinda, Robert P. Dick, 2013 .

Junlong Zhou, X. Sharon Hu, Robert P. Dick, 2020, Dependable Embedded Systems.

Li Shang, Robert P. Dick, Zhenyu Gu, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Lide Zhang, Robert P. Dick, R. Dick, 2009, 2009 Asia and South Pacific Design Automation Conference.

Kun Li, Li Shang, Lei Tian, 2013, AI Mag..

Peter A. Dinda, Robert P. Dick, Gokhan Memik, 2010, ICAC '10.

Li Shang, Lide Zhang, Russ Joseph, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Lei Yang, Robert P. Dick, Lan S. Bai, 2009, TECS.

Hai Zhou, Robert P. Dick, Jia Wang, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Li Shang, Huazhong Yang, Robert P. Dick, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Peter A. Dinda, Robert P. Dick, Sasha Jevtic, 2007, IPSN.

Huazhong Yang, Robert P. Dick, Yongpan Liu, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Jie Wu, Li Shang, Zheng Li, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Li Shang, Huazhong Yang, Hui Wang, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Niraj K. Jha, Ganesh Lakshminarayana, Anand Raghunathan, 2000, Proceedings 37th Design Automation Conference.

Peter A. Dinda, Robert P. Dick, Gokhan Memik, 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.

Xi Chen, Alok N. Choudhary, Robert P. Dick, 2008, 2008 Design, Automation and Test in Europe.

Robert P. Dick, R. Dick, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Lei Yang, Srimat T. Chakradhar, Robert P. Dick, 2010, TECS.

Yue Liu, Dan S. Wallach, Zhuoqing Morley Mao, 2014, ACM Trans. Internet Techn..

Alfred O. Hero, Robert P. Dick, Ekdeep Singh Lubana, 2020, ArXiv.

Robert P. Dick, Ekdeep Singh Lubana, Vinayak Aggarwal, 2019, 2019 Data Compression Conference (DCC).

Fan Yang, Li Shang, Xuan Zeng, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Robert P. Dick, Tony Zhang, 2019, 2019 IEEE International Conference on Image Processing (ICIP).

Lei Yang, Srimat T. Chakradhar, Robert P. Dick, 2010, TECS.

Robert P. Dick, David R. Bild, Gregory E. Bok, 2012, TODE.

Xiaobo Sharon Hu, Yue Ma, Robert P. Dick, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Peter A. Dinda, Lide Zhang, Zhuoqing Morley Mao, 2013, 2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Peter A. Dinda, Pai H. Chou, Robert P. Dick, 2011, 2011 Design, Automation & Test in Europe.

Xiaobo Sharon Hu, Shige Wang, Yue Ma, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Lei Yang, Peter A. Dinda, Robert P. Dick, 2013, IEEE Transactions on Mobile Computing.

Sanjoy K. Baruah, Rajesh Gupta, Sebastian Fischmeister, 2013, Design automation for embedded systems.

Li Shang, Robert P. Dick, Yonghong Yang, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Hai Zhou, Prithviraj Banerjee, Robert P. Dick, 2008, EMSOFT '08.

Hai Zhou, Robert P. Dick, Yuankai Chen, 2011, 2011 Design, Automation & Test in Europe.

Hai Zhou, Robert P. Dick, Jia Wang, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Enrico Macii, Massimo Poncino, Robert P. Dick, 2016 .

Robert P. Dick, Li Shang, L. Shang, 2006 .

Russ Joseph, Robert P. Dick, Seunghoon Kim, 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Huazhong Yang, Robert P. Dick, Yongpan Liu, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Xi Chen, Li Shang, Robert P. Dick, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Lei Yang, Srimat T. Chakradhar, Robert P. Dick, 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).

Robert P. Dick, Haris Lekatsas, Lan S. Bai, 2008, 2008 Design, Automation and Test in Europe.

Li Shang, Robert P. Dick, Nicholas Allec, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Prithviraj Banerjee, Robert P. Dick, Gaurav Mittal, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Li Shang, Russ Joseph, Robert P. Dick, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Xiaobo Sharon Hu, Robert P. Dick, Thidapat Chantem, 2015, 2015 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Shige Wang, X. Sharon Hu, Robert P. Dick, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kun Li, James Williamson, Li Shang, 2015, The 20th Asia and South Pacific Design Automation Conference.

Peter A. Dinda, Robert P. Dick, J. Scott Miller, 2009, SenSys '09.

Niraj K. Jha, Robert P. Dick, 1999, DATE '99.

Niraj K. Jha, Robert P. Dick, 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Peter A. Dinda, Robert P. Dick, Gokhan Memik, 2011, MobiSys '11.

Li Shang, Zheng Li, Robert P. Dick, 2008, IEEE Computer Architecture Letters.

Robert P. Dick, Qi Xuan, Yun Xiang, 2021, IEEE Transactions on Instrumentation and Measurement.

Tun Lu, Robert P. Dick, Ning Gu, 2021, Proc. ACM Interact. Mob. Wearable Ubiquitous Technol..

Robert P. Dick, Ekdeep Singh Lubana, Hidenori Tanaka, 2021, NeurIPS.

Robert P. Dick, Ekdeep Singh Lubana, 2021, ICLR.

Robert P. Dick, Ekdeep Singh Lubana, Hidenori Tanaka, 2021, ArXiv.

Robert P. Dick, David R. Bild, David Bild, 2015 .