Yu-Yuan Chen

发表

Ruby B. Lee, Pramod A. Jamkhedkar, Yu-Yuan Chen, 2012, CCS.

Ruby B. Lee, Jakub Szefer, Yu-Yuan Chen, 2010, ASAP 2010 - 21st IEEE International Conference on Application-specific Systems, Architectures and Processors.

Yu-Chee Tseng, Hsiang-Kuang Pan, Yu-Yuan Chen, 2002, IEEE Trans. Commun..

Shiliang Hu, Ruby B. Lee, Youfeng Wu, 2008 .

Ruby B. Lee, Jakub Szefer, Pramod A. Jamkhedkar, 2012, IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (DSN 2012).

Ruby B. Lee, Yu-Yuan Chen, R. Lee, 2009, ISC.

Ruby B. Lee, Jakub Szefer, Ray C. C. Cheung, 2011, 2011 22nd IEEE International Symposium on Rapid System Prototyping.