Sanchit Misra

发表

Srinivas Aluru, Sanchit Misra, Kiran Pamnany, 2014, 2014 IEEE 28th International Parallel and Distributed Processing Symposium.

Jing Zhang, Wu-chun Feng, Hao Wang, 2016, BMC Bioinformatics.

Alok N. Choudhary, Sanchit Misra, Simon Lin, 2009, PAKDD Workshops.

Wei-keng Liao, Alok N. Choudhary, Ankit Agrawal, 2011, Bioinform..

Srinivas Aluru, Edmond Chow, Sanchit Misra, 2015, ISC.

Li Shang, Prabhat Kumar, Alok N. Choudhary, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Srinivas Aluru, Sanchit Misra, Kiran Pamnany, 2015, IEEE/ACM Transactions on Computational Biology and Bioinformatics.

Tim Kraska, Sanchit Misra, Kapil Vaidya, 2020, SIGMOD Conference.

Wei-keng Liao, Alok N. Choudhary, Yuhong Zhang, 2011, 2011 IEEE 1st International Conference on Computational Advances in Bio and Medical Sciences (ICCABS).

Tim Kraska, Nesime Tatbul, Sanchit Misra, 2019, ArXiv.

Alok Choudhary, Sanchit Misra, Daniel Honbo, 2008, Next Generation of Data Mining.

Pradeep Dubey, Edmond Chow, Xiangke Liao, 2016, Int. J. High Perform. Comput. Appl..

Berkin Özisikyilmaz, Prabhat Kumar, Alok N. Choudhary, 2011, WIREs Data Mining Knowl. Discov..

Prabhat Kumar, Alok Choudhary, Robert P. Dick, 2008, ICCAD 2008.

Srinivas Aluru, Sanchit Misra, Heng Li, 2019, 2019 IEEE International Parallel and Distributed Processing Symposium (IPDPS).

Alok N. Choudhary, Ankit Agrawal, Sanchit Misra, 2011, Concurr. Comput. Pract. Exp..

Alok N. Choudhary, Lalith Polepeddi, Ankit Agrawal, 2012, Sci. Program..

Wei-keng Liao, Alok N. Choudhary, Sanchit Misra, 2010, 2010 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum (IPDPSW).

Alok N. Choudhary, Ankit Agrawal, Sanchit Misra, 2011, 2011 IEEE 1st International Conference on Computational Advances in Bio and Medical Sciences (ICCABS).

Alok N. Choudhary, Sanchit Misra, Simon Lin, 2010, SAC '10.

Tim Kraska, Andreas Kipf, Alfons Kemper, 2020, VLDB 2020.

Alok N. Choudhary, Lalith Polepeddi, Ankit Agrawal, 2011, BIOKDD '11.

Srinivas Aluru, Yong Dong, Sanchit Misra, 2014, SC14: International Conference for High Performance Computing, Networking, Storage and Analysis.

Lalith Polepeddi, Alok Choudhary, Sanchit Misra, 2013, 2013 IEEE International Conference on Big Data.

Tony Pan, Srinivas Aluru, Sanchit Misra, 2018, SC18: International Conference for High Performance Computing, Networking, Storage and Analysis.

Alok N. Choudhary, Abhishek Das, Sanchit Misra, 2008, 2008 Design, Automation and Test in Europe.

Wei-keng Liao, Alok N. Choudhary, Yuhong Zhang, 2012, BMC Bioinformatics.

Sanchit Misra, Sasikanth Avancha, Ramanarayan Mohanty, 2020, ArXiv.

Jing Zhang, Wu-chun Feng, Hao Wang, 2017, 2017 IEEE International Parallel and Distributed Processing Symposium (IPDPS).

Srinivas Aluru, Sanchit Misra, Chirag Jain, 2019, 2019 IEEE International Parallel and Distributed Processing Symposium (IPDPS).

Sanchit Misra, Alexander Heinecke, Dhiraj Kalamkar, 2021, ArXiv.

David Blaauw, Reetuparna Das, Satish Narayanasamy, 2021, 2021 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Nesreen K. Ahmed, Sanchit Misra, Alexander Heinecke, 2021, SC21: International Conference for High Performance Computing, Networking, Storage and Analysis.

Abhisek Kundu, Sanchit Misra, Alexander Heinecke, 2021, SC21: International Conference for High Performance Computing, Networking, Storage and Analysis.

Alok N. Choudhary, Lalith Polepeddi, Ankit Agrawal, 2011, 2011 IEEE 1st International Conference on Computational Advances in Bio and Medical Sciences (ICCABS).

Chirag Jain, Srinivas Aluru, Sanchit Misra, 2019, bioRxiv.