Douglas W. Clark

发表

Margaret Martonosi, Kevin Skadron, Douglas W. Clark, 1999 .

Kevin Skadron, Douglas W. Clark, D. Clark, 1999 .

Margaret Martonosi, Kevin Skadron, Stefanos Kaxiras, 2002, ISLPED '02.

Douglas W. Clark, D. Clark, 1975, Inf. Process. Lett..

Margaret Martonosi, Qiang Wu, Douglas W. Clark, 2005, 11th International Symposium on High-Performance Computer Architecture.

Douglas W. Clark, 1979, IEEE Transactions on Software Engineering.

Douglas W. Clark, C. Cordell Green, D. Clark, 1977, CACM.

Kai Li, Bin Wei, Edward W. Felten, 1998, Workshop on Graphics Hardware.

Douglas W. Clark, D. Clark, 1983, TOCS.

Margaret Martonosi, Kevin Skadron, Douglas W. Clark, 2000, Proceedings 2000 International Conference on Parallel Architectures and Compilation Techniques (Cat. No.PR00622).

Margaret Martonosi, Qiang Wu, Li-Shiuan Peh, 2005, IEEE Micro.

Liviu Iftode, Margaret Martonosi, Kai Li, 1998, ISCA.

Margaret Martonosi, Qiang Wu, Douglas W. Clark, 2004, ASPLOS XI.

Liviu Iftode, Kai Li, Angelos Bilas, 1996, 23rd Annual International Symposium on Computer Architecture (ISCA'96).

Douglas W. Clark, Joel S. Emer, J. Emer, 1985, TOCS.

Margaret Martonosi, Douglas W. Clark, Scott Karlin, 1999 .

Daniel G. Bobrow, Douglas W. Clark, D. Bobrow, 1979, TOPL.

Henry M. Levy, Douglas W. Clark, 1982, ISCA.

Douglas W. Clark, 1987, ASPLOS 1987.

Henry M. Levy, Douglas W. Clark, 1982, ISCA 1982.

Margaret Martonosi, Kevin Skadron, Douglas W. Clark, 1998, ICS '98.

Douglas W. Clark, Joel S. Emer, J. Emer, 1984, ISCA '84.

Margaret Martonosi, Kevin Skadron, Stefanos Kaxiras, 2002, IEEE Computer Architecture Letters.

Butler W. Lampson, Douglas W. Clark, Kenneth A. Pier, 1981, IEEE Transactions on Computers.

Margaret Martonosi, Qiang Wu, David M. Brooks, 2005, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05).

Douglas W. Clark, Lih-Jyh Weng, D. Clark, 1994, IEEE Trans. Computers.

Margaret Martonosi, Cheng Liao, Douglas W. Clark, 1999, SPAA '99.

Douglas W. Clark, Peter J. Bannon, James B. Keller, 1988, [1988] The 15th Annual International Symposium on Computer Architecture. Conference Proceedings.

Douglas W. Clark, Dileep Bhandarkar, D. Bhandarkar, 1991, ASPLOS IV.

Cheng Liao, Douglas W. Clark, Margaret Martonosi, 1999, Measurement and Modeling of Computer Systems.

Margaret Martonosi, Kevin Skadron, Douglas W. Clark, 1998, Proceedings. 31st Annual ACM/IEEE International Symposium on Microarchitecture.

Douglas W. Clark, 1973 .

Margaret Martonosi, Qiang Wu, David M. Brooks, 2006, IEEE Micro.

Douglas W. Clark, D. Clark, 1987, ASPLOS.

Margaret Martonosi, Cheng Liao, Douglas W. Clark, 1998, SPDT '98.

Anoop Gupta, Adam Finkelstein, Thomas A. Funkhouser, 2005, IEEE Computer Graphics and Applications.

Margaret Martonosi, Cheng Liao, Douglas W. Clark, 1999, SIGMETRICS '99.

Margaret Martonosi, Douglas W. Clark, Malena Mesarina, 1996, SPDT '96.

Qiang Wu, Easwaran Raman, David I. August, 2004, International Symposium on Code Generation and Optimization, 2004. CGO 2004..

Anne Rogers, Douglas W. Clark, Pritpal S. Ahuja, 1995, Proceedings of the 28th Annual International Symposium on Microarchitecture.

Kevin Skadron, Douglas W. Clark, D. Clark, 1997, Proceedings Third International Symposium on High-Performance Computer Architecture.

Anne Rogers, Douglas W. Clark, Pritpal S. Ahuja, 1995, MICRO 1995.

William D. Strecker, Douglas W. Clark, D. Clark, 1980, CARN.

Douglas W. Clark, C. Cordell Green, D. Clark, 1978, Inf. Process. Lett..

Adam Finkelstein, Kai Li, Douglas W. Clark, 2000, Proceedings Visualization 2000. VIS 2000 (Cat. No.00CH37145).

Kai Li, Cezary Dubnicki, Edward W. Felten, 1994, Symposium Record Hot Interconnects II.

Margaret Martonosi, Kevin Skadron, Douglas W. Clark, 2000, J. Instr. Level Parallelism.

Margaret Martonosi, Qiang Wu, Li-Shiuan Peh, 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

Margaret Martonosi, Kevin Skadron, Stefanos Kaxiras, 2004, TACO.

Henry M. Levy, Douglas W. Clark, D. Clark, 1982, CARN.

Kai Li, Douglas W. Clark, Han Chen, 2001, Proceedings First IEEE/ACM International Symposium on Cluster Computing and the Grid.

Margaret Martonosi, Kevin Skadron, Zhigang Hu, 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Margaret Martonosi, Kevin Skadron, Douglas W. Clark, 1999 .

Gordon Stoll, Pat Hanrahan, Kai Li, 1995, Proceedings 22nd Annual International Symposium on Computer Architecture.

Margaret Martonosi, Kevin Skadron, Douglas W. Clark, 1999, IEEE Trans. Computers.

Adam Finkelstein, Kai Li, Douglas W. Clark, 2000, IEEE Visualization.

Liviu Iftode, Margaret Martonosi, Cheng Liao, 1998, ICS '98.

Adam Finkelstein, George Tzanetakis, Thomas A. Funkhouser, 2000, IEEE Computer Graphics and Applications.

Cheng Liao, Douglas W. Clark, Margaret Martonosi, 1999 .