Puneet Gupta

发表

Fook-Luen Heng, Puneet Gupta, Kafai Lai, 2004, SPIE Advanced Lithography.

Puneet Gupta, Mario Miscuglio, Zibo Hu, 2020, 2020 Conference on Lasers and Electro-Optics (CLEO).

Puneet Gupta, Phalguni Gupta, 2014, ICIC.

Puneet Gupta, Janak Raj Sharma, J. Sharma, 2013, Adv. Numer. Anal..

Puneet Gupta, Andrew B. Kahng, Ion I. Mandoiu, 2003, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Puneet Gupta, Mani B. Srivastava, Lucas Francisco Wanner, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Puneet Gupta, Andrew B. Kahng, Stefanus Mantik, 2003, ASP-DAC.

Puneet Gupta, Tuck-Boon Chan, 2010, 2010 23rd International Conference on VLSI Design.

Puneet Gupta, A. A. Kagalwalla, Abde Ali Kagalwalla, 2014, Advanced Lithography.

Puneet Gupta, Andrew B. Kahng, Saumil Shah, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Lara Dolecek, Puneet Gupta, Clayton Schoeny, 2018, 2018 IEEE Information Theory Workshop (ITW).

Puneet Gupta, Arpan Pal, Brojeshwar Bhowmick, 2018, 2018 IEEE Winter Conference on Applications of Computer Vision (WACV).

Puneet Gupta, John Sartori, Rakesh Kumar, 2011, 2011 Design, Automation & Test in Europe.

Puneet Gupta, Andrew B. Kahng, A. Kahng, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

Puneet Gupta, Mark Gottscho, Qixiang Zhang, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Puneet Gupta, Rani S. Ghaida, Tuck-Boon Chan, 2010, 2010 23rd International Conference on VLSI Design.

Puneet Gupta, Andrew B. Kahng, 2004, 17th International Conference on VLSI Design. Proceedings..

Puneet Gupta, Janak Raj Sharma, Rangan K. Guha, 2013, Appl. Math. Lett..

Puneet Gupta, John Lee, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2002, 15th Annual IEEE International ASIC/SOC Conference.

Puneet Gupta, Saptadeep Pal, Subramanian S. Iyer, 2017, 2017 IEEE International Conference on Rebooting Computing (ICRC).

Puneet Gupta, Phalguni Gupta, 2015, Appl. Soft Comput..

Puneet Gupta, Phalguni Gupta, Puneet Gupta, 2015, Knowl. Based Syst..

Puneet Gupta, Chi On Chui, Shaodi Wang, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Frederic Sala, Lara Dolecek, Sorin Lerner, 2015, it Inf. Technol..

Puneet Gupta, Evanthia Papadopoulou, Puneet Gupta, 2008, Handbook of Algorithms for Physical Design Automation.

Puneet Gupta, Sani R. Nassif, Xin Yuan, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Puneet Gupta, Wei-Che Wang, Wei-Che Wang, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Puneet Gupta, Rani S. Ghaida, 2009, Advanced Lithography.

Puneet Gupta, Yasmine Badr, 2017, ArXiv.

Puneet Gupta, John Lee, Fedor Pikus, 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

Puneet Gupta, Andrew B. Kahng, Chul-Hong Park, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Puneet Gupta, Rajesh K. Gupta, 2011, 2011 Asian Test Symposium.

Puneet Gupta, Rakesh Kumar, Saptadeep Pal, 2019, 2019 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Puneet Gupta, Sani R. Nassif, Xin Yuan, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Puneet Gupta, Parag Kulkarni, Rudy Beraha, 2014, Fifteenth International Symposium on Quality Electronic Design.

Puneet Gupta, David S. Doermann, Daniel DeMenthon, 2002, Object recognition supported by user interaction for service robots.

Puneet Gupta, Phalguni Gupta, 2015, 2015 IEEE Winter Conference on Applications of Computer Vision.

Puneet Gupta, Janak Raj Sharma, J. Sharma, 2014, Adv. Numer. Anal..

Puneet Gupta, Lei He, Lerong Cheng, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Puneet Gupta, A. A. Kagalwalla, Abde Ali Kagalwalla, 2014 .

Puneet Gupta, Lei He, Lerong Cheng, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Puneet Gupta, Saptadeep Pal, Subramanian S. Iyer, 2017, 2017 IEEE 67th Electronic Components and Technology Conference (ECTC).

Puneet Gupta, Andrew B. Kahng, Puneet Sharma, 2010, Design Automation Conference.

Puneet Gupta, Kameshwar Poolla, Kun Qian, 2011, Photomask Japan.

Puneet Gupta, Andrew B. Kahng, Tuck-Boon Chan, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mihaela van der Schaar, Puneet Gupta, Aashish Pant, 2010, GLSVLSI '10.

Puneet Gupta, Phalguni Gupta, 2014, ICISS.

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2004, Proceedings. 41st Design Automation Conference, 2004..

Puneet Gupta, Scott D. Stoller, Zhongyuan Xu Tabling, 2014 .

Puneet Gupta, Chi On Chui, Shaodi Wang, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Puneet Gupta, Nikil D. Dutt, Alexandru Nicolau, 2015, ACM Trans. Archit. Code Optim..

Puneet Gupta, Pedram Khalili Amiri, Kang L. Wang, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jason Cong, Puneet Gupta, John Lee, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Puneet Gupta, Andrew B. Kahng, 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

Puneet Gupta, Wei-Che Wang, Wei-Che Wang, 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Puneet Gupta, Andrew B. Kahng, C.-H. Park, 2005, Photomask Japan.

Puneet Gupta, Lei He, Lerong Cheng, 2009, 2009 Asia and South Pacific Design Automation Conference.

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Puneet Gupta, Andrew B. Kahng, Chul-Hong Park, 2004, SPIE Photomask Technology.

Puneet Gupta, Kartik Muralidharan, Atanu Roy Chowdhury, 2008, 2008 3rd International Conference on Communication Systems Software and Middleware and Workshops (COMSWARE '08).

Puneet Gupta, Phalguni Gupta, 2014, Neurocomputing.

Michael S. Hsiao, Puneet Gupta, 2003, International Test Conference, 2003. Proceedings. ITC 2003..

Puneet Gupta, Yasmine Badr, Rani S. Ghaida, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Puneet Gupta, Hongzhong Zheng, Shaodi Wang, 2016, IEEE Transactions on Reliability.

Puneet Gupta, Wei-Che Wang, Charles Zhao, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Puneet Gupta, Sang H. Dhong, Eric Soenen, 2008, ICCAD.

Puneet Gupta, Andrew B. Kahng, Stefanus Mantik, 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..

Puneet Gupta, Andrew B. Kahng, Kambiz Samadi, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Puneet Gupta, Scott D. Stoller, Zhongyuan Xu, 2014, IEEE Transactions on Dependable and Secure Computing.

Puneet Gupta, Mani Srivastava, Lucas Francisco Wanner, 2010 .

Puneet Gupta, John Lee, Puneet Gupta, 2012, Found. Trends Electron. Des. Autom..

Puneet Gupta, Abde Ali Kagalwalla, Mark Gottscho, 2012, IEEE Embedded Systems Letters.

Lara Dolecek, Puneet Gupta, Clayton Schoeny, 2016, 2016 46th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshop (DSN-W).

Suhas N. Diggavi, Puneet Gupta, Yair Yona, 2018, IEEE Transactions on Information Forensics and Security.

Suhas N. Diggavi, Puneet Gupta, Yair Yona, 2018, ArXiv.

Puneet Gupta, Nikil D. Dutt, Alexandru Nicolau, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Puneet Gupta, Deependra Moitra, D. Moitra, 2004, Personal and Ubiquitous Computing.

Puneet Gupta, George Torres, Rani S. Ghaida, 2009, Photomask Technology.

Puneet Gupta, Chi On Chui, Shaodi Wang, 2016, IEEE Trans. Very Large Scale Integr. Syst..

Suhas N. Diggavi, Puneet Gupta, Yair Yona, 2017, 2017 Asian Hardware Oriented Security and Trust Symposium (AsianHOST).

Puneet Gupta, Andrew B. Kahng, Tuck-Boon Chan, 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

Puneet Gupta, Yasmine Badr, Andres Torres, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Puneet Gupta, A. A. Kagalwalla, Chul-Hong Park, 2011, Advanced Lithography.

Lara Dolecek, Puneet Gupta, Clayton Schoeny, 2018, 2018 48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (DSN-W).

Puneet Gupta, Saptadeep Pal, Pedram Khalili Amiri, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Puneet Gupta, Mark Gottscho, Bikash Sharma, 2016, 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Puneet Gupta, Rani S. Ghaida, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Puneet Gupta, Phalguni Gupta, Puneet Gupta, 2016, Neurocomputing.

Frederic Sala, Lara Dolecek, Puneet Gupta, 2017, 2017 IEEE Information Theory Workshop (ITW).

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2004, Proceedings. 41st Design Automation Conference, 2004..

Mihaela van der Schaar, Puneet Gupta, Aashish Pant, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Puneet Gupta, Liangzhen Lai, Chia-Hao Chang, 2014 .

Puneet Gupta, Mani B. Srivastava, Joseph Sloan, 2013, 2013 IEEE 31st International Conference on Computer Design (ICCD).

Puneet Gupta, Nikil D. Dutt, Alexandru Nicolau, 2012, CODES+ISSS '12.

Costas J. Spanos, Puneet Gupta, Lei He, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Puneet Gupta, Yasmine Badr, Ko-wei Ma, 2014 .

Puneet Gupta, Robert C. Aitken, Vikas Chandra, 2014, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Puneet Gupta, Andrew B. Kahng, Kambiz Samadi, 2005, Photomask Japan.

Puneet Gupta, Abde Ali Kagalwalla, Swamy Muddu, 2012, Advanced Lithography.

Puneet Gupta, Kang L. Wang, Shaodi Wang, 2018, IEEE Transactions on Emerging Topics in Computing.

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2003, SPIE Advanced Lithography.

Puneet Gupta, Mani B. Srivastava, Lucas Francisco Wanner, 2013, 2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Puneet Gupta, Andrew B. Kahng, Sam Nakagawa, 2006, SPIE Advanced Lithography.

Puneet Gupta, John Sartori, Rakesh Kumar, 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Puneet Gupta, Liangzhen Lai, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Puneet Gupta, Mani B. Srivastava, Sadaf Zahedi, 2011, 2011 Design, Automation & Test in Europe.

Puneet Gupta, Parag Kulkarni, Rani S. Ghaida, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Puneet Gupta, Nikil D. Dutt, Alexandru Nicolau, 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

Puneet Gupta, Steve McDonald, Christopher J. Progler, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Puneet Gupta, Andrew B. Kahng, Chul-Hong Park, 2005, ASP-DAC.

Puneet Gupta, Andrew B. Kahng, Sam Nakagawa, 2006, SPIE Advanced Lithography.

Puneet Gupta, Phalguni Gupta, 2012, 2012 IEEE Fifth International Conference on Biometrics: Theory, Applications and Systems (BTAS).

Costas J. Spanos, Puneet Gupta, Kun Qian, 2011, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Puneet Gupta, Robert C. Aitken, Vikas Chandra, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Puneet Gupta, Pedram Khalili, Kang L. Wang, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Puneet Gupta, Vikas Chandra, Liangzhen Lai, 2015, 2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES).

Puneet Gupta, Yuan Zhang, Guangming Xiao, 2005, Photomask Japan.

Puneet Gupta, Mukul Gupta, Rani S. Ghaida, 2013, Advanced Lithography.

Puneet Gupta, Andrew B. Kahng, Stefanus Mantik, 2003, ASP-DAC '03.

Puneet Gupta, Arpan Pal, Brojeshwar Bhowmick, 2018, 2018 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW).

Puneet Gupta, Nikil D. Dutt, Nalini Venkatasubramanian, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Puneet Gupta, George Torres, Rani S Ghaida, 2011, IEEE Transactions on Semiconductor Manufacturing.

Suhas N. Diggavi, Puneet Gupta, Yair Yona, 2016, 2016 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

Fook-Luen Heng, Puneet Gupta, Puneet Gupta, 2004, Proceedings. 41st Design Automation Conference, 2004..

Puneet Gupta, Janak Raj Sharma, J. Sharma, 2014, Comput. Math. Appl..

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2005, Sixth international symposium on quality electronic design (isqed'05).

Puneet Gupta, Tuck-Boon Chan, Lerong Cheng, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Fook-Luen Heng, Puneet Gupta, Mark A. Lavin, 2004, SPIE Advanced Lithography.

Puneet Gupta, Janak Raj Sharma, Rangan K. Guha, 2012, Appl. Math. Comput..

Puneet Gupta, Mani Srivastava, Sadaf Zahedi, 2011 .

Puneet Gupta, Andrew B. Kahng, Chul-Hong Park, 2008, Photomask Japan.

Puneet Gupta, Michael Chen, Wei-Che Wang, 2017, ArXiv.

Puneet Gupta, Phalguni Gupta, Aditya Nigam, 2012, ICIC.

Puneet Gupta, A. A. Kagalwalla, Abde Ali Kagalwalla, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Puneet Gupta, Phalguni Gupta, 2015, 2015 Eighth International Conference on Advances in Pattern Recognition (ICAPR).

Puneet Gupta, Kang L. Wang, Chi On Chui, 2017, IEEE Transactions on Electron Devices.

Lara Dolecek, Puneet Gupta, Nikil D. Dutt, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Puneet Gupta, Scott D. Stoller, Zhongyuan Xu, 2014, IEEE Trans. Dependable Secur. Comput..

Puneet Gupta, Andrew B. Kahng, Yu Chen, 2003, DAC '03.

Puneet Gupta, Nikil D. Dutt, Alexandru Nicolau, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Puneet Gupta, Andrew B. Kahng, 2003, Fourth International Symposium on Quality Electronic Design, 2003. Proceedings..

Puneet Gupta, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Puneet Gupta, Rakesh Kumar, Saptadeep Pal, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Puneet Gupta, Kartik Muralidharan, 2006, The Fourth IEEE Workshop on Software Technologies for Future Embedded and Ubiquitous Systems, and the Second International Workshop on Collaborative Computing, Integration, and Assurance (SEUS-WCCIA'06).

Puneet Gupta, Yasmine Badr, Andres Torres, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Puneet Gupta, Liangzhen Lai, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Puneet Gupta, Milos D. Ercegovac, Parag Kulkarni, 2011, 2011 24th Internatioal Conference on VLSI Design.

Lara Dolecek, Puneet Gupta, Clayton Schoeny, 2017, ACM Trans. Embed. Comput. Syst..

Puneet Gupta, Yasmine Badr, Shaodi Wang, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Puneet Gupta, Di Wang, Mark Gottscho, 2017, IEEE Computer Architecture Letters.

Puneet Gupta, Rani S. Ghaida, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Puneet Gupta, Yasmine Badr, Ko-wei Ma, 2014, Advanced Lithography.

Puneet Gupta, Nikil D. Dutt, Alexandru Nicolau, 2015, IEEE Transactions on Computers.

Puneet Gupta, Phalguni Gupta, Puneet Gupta, 2015, Digit. Signal Process..

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Puneet Gupta, Milos D. Ercegovac, Parag Kulkarni, 2011, J. Low Power Electron..

Puneet Gupta, Robert C. Aitken, Vikas Chandra, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Puneet Gupta, Kartik Muralidharan, Mukundan Venkataraman, 2005, 2005 Second Annual IEEE Communications Society Conference on Sensor and Ad Hoc Communications and Networks, 2005. IEEE SECON 2005..

Puneet Gupta, Yasmine Badr, Rani S. Ghaida, 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).

Puneet Gupta, Andrew B. Kahng, Puneet Sharma, 2005, Sixth international symposium on quality electronic design (isqed'05).

Norbert Wehn, Mehdi Baradaran Tahoori, Puneet Gupta, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2004, SLIP '04.

Puneet Gupta, Yoo-Jin Chae, Rik Jonckheere, 2018, Photomask Technology.

Puneet Gupta, Ashish Joshi, Vandana Upadhyay, 2013, Int. J. Electron. Heal..

Puneet Gupta, Arpan Pal, Brojeshwar Bhowmick, 2017, 2017 IEEE International Conference on Image Processing (ICIP).

Puneet Gupta, Chi On Chui, Shaodi Wang, 2017, IEEE Transactions on Electron Devices.

Puneet Gupta, Saptadeep Pal, Yaosheng Fu, 2019, IEEE Micro.

Puneet Gupta, Sani R. Nassif, Xin Yuan, 2012, 2012 IEEE International Conference on IC Design & Technology.

Puneet Gupta, Kang L. Wang, Shaodi Wang, 2016, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Puneet Gupta, Andrew B. Kahng, Ion I. Mandoiu, 2005, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Jason Cong, Puneet Gupta, John Lee, 2009, 2009 Asia and South Pacific Design Automation Conference.

Puneet Gupta, Andrew B. Kahng, Chul-Hong Park, 2005, SPIE Photomask Technology.

Puneet Gupta, Joydeep Mitra, Yasmine Badr, 2015, Advanced Lithography.

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2008, 2008 Asia and South Pacific Design Automation Conference.

Puneet Gupta, Arpan Pal, Brojeshwar Bhowmick, 2017, 2017 39th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC).

Puneet Gupta, A. A. Kagalwalla, Abde Ali Kagalwalla, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2008, SPIE Advanced Lithography.

Puneet Gupta, Rani S. Ghaida, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Puneet Gupta, Andrew B. Kahng, Chul-Hong Park, 2005, SPIE Photomask Technology.

Puneet Gupta, Phalguni Gupta, 2014, ICVGIP '14.

Puneet Gupta, Andrew B. Kahng, 2008, 2008 45th ACM/IEEE Design Automation Conference.

Puneet Gupta, Phalguni Gupta, Saurabh Srivastava, 2016, Knowl. Based Syst..

Michael S. Hsiao, Puneet Gupta, 2004, 2004 International Conferce on Test.

Puneet Gupta, Andrew B. Kahng, Chul-Hong Park, 2005, SPIE Advanced Lithography.

Fook-Luen Heng, Puneet Gupta, Jin-Fuw Lee, 2005, SPIE Advanced Lithography.

Puneet Gupta, Dennis Sylvester, Andrew B. Kahng, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Puneet Gupta, Sani R. Nassif, Lars W. Liebmann, 2012, Advanced Lithography.

Puneet Gupta, Rajesh K. Gupta, Subhasish Mitra, 2019, IEEE Des. Test.

Puneet Gupta, John Lee, 2010, 2010 IEEE International Conference on Computer Design.

Puneet Gupta, Tuck-Boon Chan, Abde Ali Kagalwalla, 2010, Advanced Lithography.

Puneet Gupta, Kumar Padmanabh, Weihuan Shu, 2009, 2009 International Conference on Advanced Information Networking and Applications Workshops.

Puneet Gupta, Liangzhen Lai, 2017, IEEE Embedded Systems Letters.

Puneet Gupta, Nikil D. Dutt, Nalini Venkatasubramanian, 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Puneet Gupta, Bijendra N. Jain, Mohit Saxena, 2008, 2008 3rd International Conference on Communication Systems Software and Middleware and Workshops (COMSWARE '08).