Shobha Vasudevan

发表

Jacob A. Abraham, Shobha Vasudevan, Vinod Viswanath, 2007, IEEE Transactions on Computers.

Shobha Vasudevan, Seyed Nematollah Ahmadyan, Jayanand Asok Kumar, 2012, DAC Design Automation Conference 2012.

Shobha Vasudevan, Sam Hertz, Debjit Pal, 2019, ASP-DAC.

Shobha Vasudevan, Lingyi Liu, Lingyi Liu, 2011, 2011 Design, Automation & Test in Europe.

Shobha Vasudevan, Parth Sagdeo, Viraj Athavale, 2011, 2011 26th IEEE/ACM International Conference on Automated Software Engineering (ASE 2011).

Shobha Vasudevan, Jayanand Asok Kumar, 2009 .

Shobha Vasudevan, Jayanand Asok Kumar, Shobha Vasudevan, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Shobha Vasudevan, Seyed Nematollah Ahmadyan, Jayanand Asok Kumar, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Shobha Vasudevan, Chen-Hsuan Lin, Lingyi Liu, 2013, GLSVLSI '13.

Shobha Vasudevan, Elyse Rosenbaum, Keven Feng, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Shobha Vasudevan, William Tuohy, Lingyi Liu, 2011, 2011 Design, Automation & Test in Europe.

Shobha Vasudevan, Sam Hertz, Viraj Athavale, 2012, CODES+ISSS '12.

Sandip Ray, Shobha Vasudevan, Abhishek Sharma, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Sandip Ray, Flavio M. de Paula, Shobha Vasudevan, 2018, DAC.

Shobha Vasudevan, Lingyi Liu, Xiaotao Chen, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Shobha Vasudevan, Elyse Rosenbaum, Rui Jiang, 2016, 2016 38th Electrical Overstress/Electrostatic Discharge Symposium (EOS/ESD).

Jacob A. Abraham, Shobha Vasudevan, J. Abraham, 2004, IFIP Congress Topical Sessions.

Shobha Vasudevan, Lingyi Liu, 2013, J. Electron. Test..

Shobha Vasudevan, Yang Xiu, Elyse Rosenbaum, 2018, 2018 40th Electrical Overstress/Electrostatic Discharge Symposium (EOS/ESD).

Shobha Vasudevan, Jayanand Asok Kumar, Shobha Vasudevan, 2012, 17th Asia and South Pacific Design Automation Conference.

Jacob A. Abraham, Shobha Vasudevan, Vinod Viswanath, 2009, 2009 22nd International Conference on VLSI Design.

David Tcheng, Sanjay J. Patel, Shobha Vasudevan, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Shobha Vasudevan, Michael Bailey, Qiao Jin, 2015, HotSoS.

Shobha Vasudevan, Jayanand Asok Kumar, Shobha Vasudevan, 2011, 2011 24th Internatioal Conference on VLSI Design.

Shobha Vasudevan, Jayanand Asok Kumar, Shobha Vasudevan, 2010, 2010 IEEE/IFIP International Conference on Dependable Systems & Networks (DSN).

Shobha Vasudevan, Seyed Nematollah Ahmadyan, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Shobha Vasudevan, Chen-Hsuan Lin, Lingyi Liu, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Chenjie Gu, Shobha Vasudevan, Eli Chiprout, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Shobha Vasudevan, Debjit Pal, Parth Sagdeo, 2013, 2013 28th IEEE/ACM International Conference on Automated Software Engineering (ASE).

Shobha Vasudevan, Viraj Athavale, Jayanand Asok Kumar, 2010, 2010 53rd IEEE International Midwest Symposium on Circuits and Systems.

Shobha Vasudevan, Jayanand Asok Kumar, Shobha Vasudevan, 2010, 2010 Seventh International Conference on the Quantitative Evaluation of Systems.

Shobha Vasudevan, William Tuohy, Lingyi Liu, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Shobha Vasudevan, Viraj Athavale, Samuel Hertz, 2011 .

Sandip Ray, Rui Jiang, Shobha Vasudevan, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Shobha Vasudevan, Suriyaprakash Natarajan, Seyed Nematollah Ahmadyan, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Shobha Vasudevan, Suriyaprakash Natarajan, Seyed Nematollah Ahmadyan, 2017, Integr..

Shobha Vasudevan, Shobha Vasudevan, 2017, 2017 IEEE International Conference on Rebooting Computing (ICRC).

Shobha Vasudevan, Debjit Pal, Sai Ma, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jacob A. Abraham, Shobha Vasudevan, Vinod Viswanath, 2008, Des. Autom. Embed. Syst..

Charles Blatti, Krishna R. Kalari, Shobha Vasudevan, 2019, bioRxiv.

Shobha Vasudevan, Seyed Nematollah Adel Ahmadyan, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Shobha Vasudevan, Lingyi Liu, David Sheridan, 2014, 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems.

Jacob A. Abraham, Shobha Vasudevan, Sankar Gurumurthy, 2006, 2006 IEEE International Test Conference.

Shobha Vasudevan, Debjit Pal, 2016, 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID).

Jacob A. Abraham, Shobha Vasudevan, Vinod Viswanath, 2009, J. Low Power Electron..

Jiawei Han, Tarek F. Abdelzaher, Shobha Vasudevan, 2011, 2011 IEEE 11th International Conference on Data Mining.

Kenneth M. Butler, Shobha Vasudevan, Jayanand Asok Kumar, 2012, DAC Design Automation Conference 2012.

Jacob A. Abraham, Shobha Vasudevan, S. Guramurthy, 2005, IEEE International Conference on Test, 2005..

Shobha Vasudevan, Alina Oprea, Ziheng Zeng, 2018, 2018 IEEE International Conference on Big Data (Big Data).

Jacob A. Abraham, Shobha Vasudevan, Vinod Viswanath, 2006, Fourth ACM and IEEE International Conference on Formal Methods and Models for Co-Design, 2006. MEMOCODE '06. Proceedings..

Shobha Vasudevan, Lingyi Liu, Samuel Hertz, 2019 .

Shobha Vasudevan, Sam Hertz, David Sheridan, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jacob A. Abraham, Shobha Vasudevan, Vinod Viswanath, 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

Jacob A. Abraham, Shobha Vasudevan, E. Allen Emerson, 2005, AVoCS.

Shobha Vasudevan, Lingyi Liu, Viraj Athavale, 2011, Ninth ACM/IEEE International Conference on Formal Methods and Models for Codesign (MEMPCODE2011).

Shobha Vasudevan, Jayanand Asok Kumar, Lingyi Liu, 2011, 2011 Formal Methods in Computer-Aided Design (FMCAD).

Shobha Vasudevan, Sam Hertz, Sai Ma, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Shobha Vasudevan, Seyed Nematollah Ahmadyan, Jayanand Asok Kumar, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jacob A. Abraham, Shobha Vasudevan, E. Allen Emerson, 2007, International Journal on Software Tools for Technology Transfer.

Shobha Vasudevan, Seyed Nematollah Ahmadyan, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Shobha Vasudevan, Debjit Pal, Shobha Vasudevan, 2021, ArXiv.