Nicolae Savoiu

发表

Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Nikil D. Dutt, Sunwoo Kim, Rajesh K. Gupta, 2001, DAC '01.

Sandeep K. Shukla, Rajesh K. Gupta, Nicolae Savoiu, 2002, 15th International Symposium on System Synthesis, 2002..

Nikil D. Dutt, Alexandru Nicolau, Asheesh Khare, 2001, Proceedings 25th EUROMICRO Conference. Informatics: Theory and Practice for the New Millennium.

Sandeep K. Shukla, Rajesh K. Gupta, Nicolae Savoiu, 2005, Proceedings. Second ACM and IEEE International Conference on Formal Methods and Models for Co-Design, 2005. MEMOCODE '05..

Sandeep K. Shukla, Rajesh K. Gupta, Nicolae Savoiu, 2002, IWLS.

Sandeep K. Shukla, Rajesh K. Gupta, Nicolae Savoiu, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Nikil D. Dutt, Rajesh K. Gupta, Alexandru Nicolau, 2001, International Symposium on System Synthesis (IEEE Cat. No.01EX526).

Nikil D. Dutt, Alexandru Nicolau, Asheesh Khare, 2001, J. Syst. Archit..

Rajesh Gupta, Nikil D. Dutt, Alexandru Nicolau, 2002, 15th International Symposium on System Synthesis, 2002..