Charles J. Alpert

发表

Sachin S. Sapatnekar, Suresh Raman, Charles J. Alpert, 2002, Integr..

Earl E. Swartzlander, David Z. Pan, Natarajan Viswanathan, 2012, ISPD '12.

Gi-Joon Nam, Charles J. Alpert, Cliff C. N. Sze, 2009, ISPD '09.

Andrew B. Kahng, Ion I. Mandoiu, Alex Zelikovsky, 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

David Z. Pan, Charles J. Alpert, Paul G. Villarrubia, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Charles J. Alpert, Stephen T. Quay, Milos Hrkic, 2004, ISPD '04.

Yih-Lang Li, Wen-Hao Liu, Natarajan Viswanathan, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Chandramouli V. Kashyap, Charles J. Alpert, Anirudh Devgan, 2000, ISPD '00.

Andrew B. Kahng, Charles J. Alpert, C. Alpert, 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

David Z. Pan, Charles J. Alpert, Zhuo Li, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Derong Liu, Andrew B. Kahng, Charles J. Alpert, 2018, ISPD.

David R. Karger, Andrew B. Kahng, Charles J. Alpert, 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Igor L. Markov, Gi-Joon Nam, Charles J. Alpert, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jiang Hu, Charles J. Alpert, Stephen T. Quay, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Chandramouli V. Kashyap, Frank Liu, Charles J. Alpert, 2002, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Taraneh Taghavi, Shyam Ramji, Gi-Joon Nam, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Sachin S. Sapatnekar, Charles J. Alpert, Andrew B. Kahng, 2002 .

Charles J. Alpert, Paul G. Villarrubia, Chris Chu, 2007, ICCAD 2007.

Narayanan Vijaykrishnan, Charles J. Alpert, Sara Dailey, 2015, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Gi-Joon Nam, Charles J. Alpert, Paul G. Villarrubia, 2007, Modern Circuit Placement.

Charles J. Alpert, Anirudh Devgan, 1997, DAC.

Chris C. N. Chu, Charles J. Alpert, Paul G. Villarrubia, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Igor L. Markov, Natarajan Viswanathan, Gi-Joon Nam, 2011, IEEE Micro.

Shiyan Hu, Sachin S. Sapatnekar, Charles J. Alpert, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Sachin S. Sapatnekar, Charles J. Alpert, S. Raje, 2001, ICCAD 2001.

Earl E. Swartzlander, Charles J. Alpert, Samuel I. Ward, 2011, ISPD '11.

Jarrod A. Roy, Igor L. Markov, Natarajan Viswanathan, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Igor L. Markov, Shyam Ramji, Natarajan Viswanathan, 2012, ISPD '12.

Louise Trevillyan, Charles J. Alpert, Ruchir Puri, 2008, Handbook of Algorithms for Physical Design Automation.

Yao-Wen Chang, Jarrod A. Roy, Natarajan Viswanathan, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Jiang Hu, Charles J. Alpert, Cliff C. N. Sze, 2004, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Charles J. Alpert, Anirudh Devgan, Stephen T. Quay, 1999, DAC '99.

Andrew B. Kahng, Charles J. Alpert, C. Alpert, 1994, ICCAD '94.

Jiang Hu, Chandramouli V. Kashyap, Chris C. N. Chu, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Massoud Pedram, Charles J. Alpert, C. Alpert, 2003, ACM International Symposium on Physical Design.

Jiang Hu, Charles J. Alpert, Cliff C. N. Sze, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

Chandramouli V. Kashyap, Frank Liu, Charles J. Alpert, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Sachin S. Sapatnekar, Suresh Raman, Charles J. Alpert, 2000, ISPD '00.

Charles J. Alpert, John P. Fishburn, Anirudh Devgan, 2002 .

Jiang Hu, Charles J. Alpert, Stephen T. Quay, 2002, ISPD '02.

Andrew B. Kahng, Igor L. Markov, Charles J. Alpert, 1999, ISPD '99.

Gi-Joon Nam, Charles J. Alpert, Paul G. Villarrubia, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Soha Hassoun, Charles J. Alpert, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Jiang Hu, Weiping Shi, Charles J. Alpert, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Charles J. Alpert, Paul G. Villarrubia, Gi Jung Nam, 2002, International Conference on Computer Aided Design.

Sachin S. Sapatnekar, Charles J. Alpert, Sachin S. Sapatnekar, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Shiyan Hu, Charles J. Alpert, Zhuo Li, 2009, ISPD '09.

Andrew B. Kahng, Gi-Joon Nam, Charles J. Alpert, 2005, ISPD '05.

Andrew B. Kahng, Charles J. Alpert, C. Alpert, 1995, Integr..

Andrew B. Kahng, Charles J. Alpert, C. Alpert, 1993, 30th ACM/IEEE Design Automation Conference.

David Z. Pan, Gi-Joon Nam, Charles J. Alpert, 2007, 2007 Asia and South Pacific Design Automation Conference.

Louise Trevillyan, Charles J. Alpert, Zhuo Li, 2011, VLSI Design.

Sachin S. Sapatnekar, Weiping Shi, Charles J. Alpert, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Sachin S. Sapatnekar, Dinesh P. Mehta, Charles J. Alpert, 2008 .

Charles J. Alpert, Anirudh Devgan, Stephen T. Quay, 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

Jarrod A. Roy, Shyam Ramji, Chris C. N. Chu, 2010, ISPD '10.

Sachin S. Sapatnekar, Natarajan Viswanathan, Charles J. Alpert, 2012, DAC Design Automation Conference 2012.

Andrew B. Kahng, Charles J. Alpert, Cliff C. N. Sze, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Andrew B. Kahng, Charles J. Alpert, C. Alpert, 1994, IEEE/ACM International Conference on Computer-Aided Design.

Charles J. Alpert, 2017, ISPD.

Shiyan Hu, Charles J. Alpert, Zhuo Li, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Jiang Hu, Charles J. Alpert, Chin Ngai Sze, 2004 .

Peng Li, Jiang Hu, Anand Rajaram, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

Gi-Joon Nam, Charles J. Alpert, Paul G. Villarrubia, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Jason Cong, Majid Sarrafzadeh, Andrew B. Kahng, 1993, ISCAS.

Chris C. N. Chu, Natarajan Viswanathan, Gi-Joon Nam, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Chandramouli V. Kashyap, Frank Liu, Charles J. Alpert, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chandramouli V. Kashyap, Frank Liu, Charles J. Alpert, 2002, TAU '02.

Igor L. Markov, Gi-Joon Nam, Charles J. Alpert, 2008, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Andrew B. Kahng, Charles J. Alpert, L. Hagen, 1996, Proceedings of APCCAS'96 - Asia Pacific Conference on Circuits and Systems.

Andrew B. Kahng, Gi-Joon Nam, Charles J. Alpert, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, Ion I. Mandoiu, Alex Zelikovsky, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Yu-Liang Wu, Charles J. Alpert, Cliff C. N. Sze, 2012, GLSVLSI '12.

Narayanan Vijaykrishnan, Charles J. Alpert, Sara Dailey, 2016, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Charles J. Alpert, So-Zen Yao, C. Alpert, 1995, 32nd Design Automation Conference.

Andrew B. Kahng, Charles J. Alpert, Dennis J.-H. Huang, 1997, DAC.

David Z. Pan, Charles J. Alpert, Zhuo Li, 2016, ASP-DAC.

Jiang Hu, Chandramouli V. Kashyap, Chris C. N. Chu, 2004, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Luca Benini, Stephen A. Edwards, Yunheung Paek, 2009 .

Sachin S. Sapatnekar, Jiang Hu, José Luis Neves, 2001, ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196).

David Z. Pan, Xiaoqing Xu, Wen-Hao Liu, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Charles J. Alpert, Stephen T. Quay, A. Devghan, 1999, DAC 1999.

Sachin S. Sapatnekar, Jiang Hu, Charles J. Alpert, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Charles J. Alpert, Anirudh Devgan, Stephen T. Quay, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Sachin S. Sapatnekar, Jiang Hu, Charles J. Alpert, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Haifeng Qian, Charles J. Alpert, Zhuo Li, 2014, SLIP 2014.

David Z. Pan, Charles J. Alpert, Tao Luo, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

David Z. Pan, Charles J. Alpert, Zhuo Li, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Weiping Shi, Charles J. Alpert, Chin Ngai Sze, 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Charles J. Alpert, Lars W Hagent, Andrew B. Iiahng, 1996 .

Andrew B. Kahng, Charles J. Alpert, So-Zen Yao, 1999, Discret. Appl. Math..

Keith A. Jenkins, Charles J. Alpert, Timothy G. McNamara, 2000 .

David Z. Pan, Gi-Joon Nam, Charles J. Alpert, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jiang Hu, Charles J. Alpert, Stephen T. Quay, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, Igor L. Markov, Tony F. Chan, 1997, ISPD '97.

Charles J. Alpert, Anirudh Devgan, Stephen T. Quay, 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

Charles J. Alpert, John P. Fishburn, Anirudh Devgan, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Shiyan Hu, Charles J. Alpert, Zhuo Li, 2009, IEEE Transactions on Circuits and Systems II: Express Briefs.

Earl E. Swartzlander, David Z. Pan, Natarajan Viswanathan, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Sachin S. Sapatnekar, Dinesh P. Mehta, Charles J. Alpert, 2008, Handbook of Algorithms for Physical Design Automation.

Andrew B. Kahng, Charles J. Alpert, T. C. Hu, 1993, 1993 IEEE International Symposium on Circuits and Systems.

Sachin S. Sapatnekar, Jiang Hu, José Luis Neves, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Andrew B. Kahng, Sachin S. Sapatnekar, Jiang Hu, 2002, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jiang Hu, Gi-Joon Nam, Charles J. Alpert, 2010, Design Automation Conference.

Andrew B. Kahng, Igor L. Markov, Tony F. Chan, 1999, VLSI Design.

Sachin S. Sapatnekar, Jiang Hu, Charles J. Alpert, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Soha Hassoun, Charles J. Alpert, Meera Thiagarajan, 2002, ICCAD 2002.

Jarrod A. Roy, Natarajan Viswanathan, Gi-Joon Nam, 2011, ISPD '11.

Weiping Shi, Charles J. Alpert, Zhuo Li, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

Chandramouli V. Kashyap, Frank Liu, Charles J. Alpert, 2003, ISPD '03.

Natarajan Viswanathan, Gi-Joon Nam, Charles J. Alpert, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Chandramouli V. Kashyap, Frank Liu, Charles J. Alpert, 2004, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Natarajan Viswanathan, Charles J. Alpert, Myung-Chul Kim, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Shiyan Hu, Jiang Hu, Weiping Shi, 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Charles J. Alpert, C. Alpert, 1998, ISPD '98.

Yu-Liang Wu, Charles J. Alpert, Cliff C. N. Sze, 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

Charles J. Alpert, Paul G. Villarrubia, Stephen T. Quay, 2007, 2007 Asia and South Pacific Design Automation Conference.

Tao Luo, David Z. Pan, Charles J. Alpert, 2008, ICCAD 2008.

Jiang Hu, Weiping Shi, Charles J. Alpert, 2005, ASP-DAC.

Jarrod A. Roy, Gi-Joon Nam, Charles J. Alpert, 2010, ISPD '10.

Chandramouli V. Kashyap, Charles J. Alpert, Anirudh Devgan, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Natarajan Viswanathan, Charles J. Alpert, Zhuo Li, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Igor L. Markov, Tony F. Chan, Charles J. Alpert, 1997, DAC.

Andrew B. Kahng, Charles J. Alpert, Andrew E. Caldwell, 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Gi-Joon Nam, Charles J. Alpert, Zhuo Li, 2007, Proceedings of the IEEE.

Andrew B. Kahng, Charles J. Alpert, C. Alpert, 1995 .

Andrew B. Kahng, Charles J. Alpert, 1996, IEEE Trans. Very Large Scale Integr. Syst..

Charles J. Alpert, Paul G. Villarrubia, Gi-Joon Nam, 2002, ICCAD 2002.

Jason Cong, Majid Sarrafzadeh, Andrew B. Kahng, 1993, 1993 IEEE International Symposium on Circuits and Systems.

Chandramouli V. Kashyap, Charles J. Alpert, Anirudh Devgan, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Shiyan Hu, Charles J. Alpert, Zhuo Li, 2008, ISPD '08.

Chandramouli V. Kashyap, Frank Liu, Charles J. Alpert, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

David Blaauw, Sani R. Nassif, Dennis Sylvester, 2005, ISPD '05.

Jiang Hu, Charles J. Alpert, Stephen T. Quay, 2004, Proceedings. 41st Design Automation Conference, 2004..

Igor L. Markov, Charles J. Alpert, Michael D. Moffitt, 2010, IEEE Design & Test of Computers.

Shiyan Hu, Weiping Shi, Charles J. Alpert, 2010, ISPD '10.

Charles J. Alpert, David P. LaPotin, John Lillis, 2002, Timing Issues in the Specification and Synthesis of Digital Systems.

Chandramouli V. Kashyap, Frank Liu, Charles J. Alpert, 2004, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Sachin S. Sapatnekar, Natarajan Viswanathan, Charles J. Alpert, 2014, TODE.

Gi-Joon Nam, Charles J. Alpert, Mehmet Can Yildiz, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Charles J. Alpert, Zhuo Li, Michael D. Moffitt, 2008, 2008 45th ACM/IEEE Design Automation Conference.

Gi-Joon Nam, Charles J. Alpert, Zhuo Li, 2007, SLIP '07.

Andrew B. Kahng, Charles J. Alpert, 1995 .

Gi-Joon Nam, Charles J. Alpert, Bruce Winter, 2005, ISPD '05.

Shiyan Hu, Charles J. Alpert, Zhuo Li, 2009, 2009 46th ACM/IEEE Design Automation Conference.

David Z. Pan, Natarajan Viswanathan, Charles J. Alpert, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Soha Hassoun, Charles J. Alpert, Meera Thiagarajan, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Sachin S. Sapatnekar, Jiang Hu, Charles J. Alpert, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Andrew B. Kahng, Igor L. Markov, Tony F. Chan, 1997, ISPD '97.

Natarajan Viswanathan, Charles J. Alpert, Zhuo Li, 2012, DAC Design Automation Conference 2012.

Charles J. Alpert, John P. Fishburn, Anirudh Devgan, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Charles J. Alpert, Zhuo Li, 2011 .

Sachin S. Sapatnekar, Jiang Hu, Charles J. Alpert, 2004, ICCAD 2004.

Natarajan Viswanathan, Gi-Joon Nam, Charles J. Alpert, 2012, DAC Design Automation Conference 2012.

Andrew B. Kahng, Charles J. Alpert, Dennis J.-H. Huang, 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

David R. Karger, Andrew B. Kahng, Charles J. Alpert, 1995 .

Andrew B. Kahng, Sachin S. Sapatnekar, Jiang Hu, 2002, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jason Cong, Majid Sarrafzadeh, Andrew B. Kahng, 1994 .